JOURNAL PAPERS
Publications of Electronics & Communication Department |
---|
A study of radially thick helix: equivalent circuit approach,Journal: IEEE Transactions on Electron Devices, Month: Aug, Year: 1992. Pages: 1961-1965 |
Parallel recursive least squares algorithms for adaptive Volterra filters,In [Proceedings] 1992 IEEE International Symposium on Circuits and Systems, Month: May, Year: 1992. Pages: 1247-1249 vol.3 |
An artificial neural network model for effective dielectric constant of microstrip line,Journal: IEEE Transactions on Antennas and Propagation, Month: Nov, Year: 1997. Pages: 1697- |
Neural network-based CAD model for the design of square-patch antennas,Journal: IEEE Transactions on Antennas and Propagation, Month: Dec, Year: 1998. Pages: 1890-1891 |
An improved approach for the simulation of radial thickness of helix for practical TWTs,In ICMMT'98. 1998 International Conference on Microwave and Millimeter Wave Technology. Proceedings (Cat. No.98EX106), Month: Aug, Year: 1998. Pages: 733-736 |
A new family of concurrent algorithms for adaptive Volterra and linear filters,Journal: IEEE Transactions on Signal Processing, Month: Sep., Year: 1999. Pages: 2547-2551 |
Effective simulation of the radial thickness of helix for broad band, practical TWT's,Journal: IEEE Transactions on Plasma Science, Month: Aug, Year: 1999. Pages: 1115-1123 |
Neurospectral computation for complex resonant frequency of microstrip resonators,Journal: IEEE Microwave and Guided Wave Letters, Month: Sep., Year: 1999. Pages: 351-353 |
ANN techniques in microwave engineering,Journal: IEEE Microwave Magazine, Month: March, Year: 2000. Pages: 55-60 |
Early stage hot carrier degradation of state-of-the-art LDD N-MOSFETs,In 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059), Month: April, Year: 2000. Pages: 108-111 |
Application of multicarrier CDMA to mobile communication technology,In Proceedings of IEEE International Conference on Industrial Technology 2000 (IEEE Cat. No.00TH8482), Month: Jan, Year: 2000. Pages: 427-431 vol.2 |
Neurospectral analysis of coaxial fed rectangular patch antenna,In IEEE Antennas and Propagation Society International Symposium. Transmitting Waves of Progress to the Next Millennium. 2000 Digest. Held in conjunction with: USNC/URSI National Radio Science Meeting (C, Month: July, Year: 2000. Pages: 1062-1065 vol.2 |
Possible operation of a 1.5-2-MW, CW conventional cavity gyrotron at 140 GHz,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2000. Pages: 645-651 |
Design of a 24 GHz, 30 kW technology gyrotron operating at the second harmonic,In 25th International Conference on Infrared and Millimeter Waves (Cat. No.00EX442), Month: Sep., Year: 2000. Pages: 327-328 |
Application of Steiner design for capacity enhancement of frequency hopping spread spectrum wireless systems,In 2000 IEEE International Conference on Personal Wireless Communications. Conference Proceedings (Cat. No.00TH8488), Month: Dec, Year: 2000. Pages: 198-202 |
A new adaptive serial search PN code acquisition scheme for DS-CDMA systems,In 2000 IEEE International Conference on Personal Wireless Communications. Conference Proceedings (Cat. No.00TH8488), Month: Dec, Year: 2000. Pages: 245-248 |
A coaxial Gyro-TWT,Journal: IEEE Transactions on Plasma Science, Month: Feb, Year: 2001. Pages: 57-61 |
Quantifying the nature of hot carrier degradation in the spacer region of LDD nMOSFETs,Journal: IEEE Transactions on Device and Materials Reliability, Month: Sep., Year: 2001. Pages: 134-143 |
Silicon film thickness considerations in SOI-DTMOS,Journal: IEEE Electron Device Letters, Month: May, Year: 2002. Pages: 276-278 |
A coaxially loaded helical slow-wave structure for TWTs,In Third IEEE International Vacuum Electronics Conference (IEEE Cat. No.02EX524), Month: April, Year: 2002. Pages: 151-152 |
Nature of hot carrier damage in spacer oxide of LDD n-MOSFETs,In 2002 23rd International Conference on Microelectronics. Proceedings (Cat. No.02TH8595), Month: May, Year: 2002. Pages: 735-739 vol.2 |
Equivalent circuit analysis of helix-loaded waveguide for Gyro-TWTs,Journal: IEEE Transactions on Plasma Science, Month: Feb, Year: 2002. Pages: 375-379 |
Progress in silicon RF Power MOS technologies - current and future trends,In Proceedings of the Fourth IEEE International Caracas Conference on Devices, Circuits and Systems (Cat. No.02TH8611), Month: April, Year: 2002. Pages: D047-D047 |
Degradation behaviour of polysilicon high voltage thin film transistors,In Proceedings of the 9th International Symposium on the Physical and Failure Analysis of Integrated Circuits (Cat. No.02TH8614), Month: July, Year: 2002. Pages: 219-222 |
Impact of oxide degradation on universal mobility behaviour of n-MOS inversion layers,In Proceedings of the 9th International Symposium on the Physical and Failure Analysis of Integrated Circuits (Cat. No.02TH8614), Month: July, Year: 2002. Pages: 227-231 |
Experimental results and technical requirements for a 2 MW, CW, 170 GHz coaxial cavity gyrotron,In Twenty Seventh International Conference on Infrared and Millimeter Waves, Month: Sep., Year: 2002. Pages: 7-8 |
A 42 GHz, 200 kW second harmonic gyrotron,In Twenty Seventh International Conference on Infrared and Millimeter Waves, Month: Sep., Year: 2002. Pages: 39-40 |
Design of a multifrequency high power gyrotron at FZK,In Twenty Seventh International Conference on Infrared and Millimeter Waves, Month: Sep., Year: 2002. Pages: 153-154 |
Possibilities for multifrequency operation of a gyrotron at FZK,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2002. Pages: 828-835 |
An iterative method for code timing acquisition for DS-CDMA systems,In 2002 IEEE International Conference on Personal Wireless Communications, Month: Dec, Year: 2002. Pages: 314-318 |
A code allocation protocol for maximizing throughput in CDMA based ad hoc networks,In 2003 IEEE Wireless Communications and Networking, 2003. WCNC 2003., Month: March, Year: 2003. Pages: 1385-1390 vol.2 |
Designing rectangular patch antenna using the neurospectral method,Journal: IEEE Transactions on Antennas and Propagation, Month: Aug, Year: 2003. Pages: 1914-1921 |
Self-consistent solution of 2D-Poisson and Schrodinger wave equation for nano-metric MOSFET modeling for VLSI/ULSI purposes,In 2002 Conference on Optoelectronic and Microelectronic Materials and Devices. COMMAD 2002. Proceedings (Cat. No.02EX601), Month: Dec, Year: 2002. Pages: 377-380 |
Design of a 42-GHz 200-kW gyrotron operating at the second harmonic,Journal: IEEE Transactions on Microwave Theory and Techniques, Month: Feb, Year: 2004. Pages: 686-692 |
Acoustic echo cancellation using multiple sub-filters,In TENCON 2003. Conference on Convergent Technologies for Asia-Pacific Region, Month: Oct, Year: 2003. Pages: 393-396 Vol.1 |
Development of frequency step tunable 1 MW gyrotrons in D-band,In 4th IEEE International Conference on Vacuum Electronics, 2003, Month: May, Year: 2003. Pages: 30-31 |
A step towards a 170 GHz, 5 MW coaxial super gyrotron,In 4th IEEE International Conference on Vacuum Electronics, 2003, Month: May, Year: 2003. Pages: 36-37 |
Analysis of multi-frequency polarimetric data for assessment of bare soil roughness,In IGARSS 2003. 2003 IEEE International Geoscience and Remote Sensing Symposium. Proceedings (IEEE Cat. No.03CH37477), Month: July, Year: 2003. Pages: 1405-1407 vol.2 |
Silicon film thickness optimization for SOI-DTMOS from circuit performance considerations,Journal: IEEE Electron Device Letters, Month: June, Year: 2004. Pages: 436-438 |
Numerical and experimental analysis of nonradiative dielectric guide modulator and mixer at Ka band,Journal: IEEE Microwave and Wireless Components Letters, Month: July, Year: 2004. Pages: 322-324 |
Comparative study of drift region designs in RF LDMOSFETs,Journal: IEEE Transactions on Electron Devices, Month: Aug, Year: 2004. Pages: 1296-1303 |
165-GHz coaxial cavity gyrotron,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2004. Pages: 853-860 |
Applications of neural networks in wireless communications,Journal: IEEE Antennas and Propagation Magazine, Month: June, Year: 2004. Pages: 130-137 |
Geolocation using transmit and receive diversity,In IEEE Global Telecommunications Conference, 2004. GLOBECOM '04., Month: Nov, Year: 2004. Pages: 3681-3684 Vol.6 |
Upper bounds on the rate of LDPC codes for Gilbert-Elliott channels,In Information Theory Workshop, Month: Oct, Year: 2004. Pages: 230-235 |
ISI-free pulses with reduced sensitivity to timing errors,Journal: IEEE Communications Letters, Month: April, Year: 2005. Pages: 292-294 |
Multipath delay estimation for acoustic echo channel,In 2004 IEEE Region 10 Conference TENCON 2004., Month: Nov, Year: 2004. Pages: 128-130 Vol. 2 |
Feasibility of a 140 GHz, 3.0-3.5 MW, CW coaxial gyrotron with dual beam output,In Infrared and Millimeter Waves, Conference Digest of the 2004 Joint 29th International Conference on 2004 and 12th International Conference on Terahertz Electronics, 2004., Month: Sep., Year: 2004. Pages: 189-190 |
A magnetron-like interaction structure for gyro-TWTs,In Infrared and Millimeter Waves, Conference Digest of the 2004 Joint 29th International Conference on 2004 and 12th International Conference on Terahertz Electronics, 2004., Month: Sep., Year: 2004. Pages: 643-644 |
A new technique to determine the upper threshold for finite length turbo codes,In 2005 IEEE International Conference on Personal Wireless Communications, 2005. ICPWC 2005., Month: Jan, Year: 2005. Pages: 101-103 |
A family of ISI-free polynomial pulses,Journal: IEEE Communications Letters, Month: June, Year: 2005. Pages: 496-498 |
Neural networks in antenna engineering - beyond black-box modeling,In IEEE/ACES International Conference on Wireless Communications and Applied Computational Electromagnetics, 2005., Month: April, Year: 2005. Pages: 598-601 |
Performance analysis of predetection EGC in exponentially correlated Nakagami-m fading channel,Journal: IEEE Transactions on Communications, Month: Aug, Year: 2005. Pages: 1252-1256 |
A compact analytical model for a Gaussian doped nanoscale MOSFET and evidence for diminished short channel effects,In Proceedings of the IEEE INDICON 2004. First India Annual Conference, 2004., Month: Dec, Year: 2004. Pages: 549-552 |
A simplistic incidence angle approach to retrieve the soil moisture and surface roughness at X-band,Journal: IEEE Transactions on Geoscience and Remote Sensing, Month: Nov, Year: 2005. Pages: 2606-2611 |
On the spread of random interleavers,In Proceedings. International Symposium on Information Theory, 2005. ISIT 2005., Month: Sep., Year: 2005. Pages: 439-443 |
Polarization discrimination ratio approach to retrieve bare soil moisture at X-band,In Proceedings. 2005 IEEE International Geoscience and Remote Sensing Symposium, 2005. IGARSS '05., Month: July, Year: 2005. Pages: 4 pp.- |
Neurocomputational analysis of a multiband reconfigurable planar antenna,Journal: IEEE Transactions on Antennas and Propagation, Month: Nov, Year: 2005. Pages: 3453-3458 |
Optimal bandwidth allocation to coding and spreading in DS-CDMA systems using LMMSE front-end detector,Journal: IEEE Transactions on Wireless Communications, Month: Nov, Year: 2005. Pages: 2636-2641 |
A frequency reconfigurable antenna design using neural networks,In 2005 IEEE Antennas and Propagation Society International Symposium, Month: July, Year: 2005. Pages: 409-412 vol. 2A |
Terminating load dependent width optimization of global inductive VLSI interconnects,In Proceedings of the IEEE Symposium on Emerging Technologies, 2005., Month: Sep., Year: 2005. Pages: 301-305 |
Design studies of an 84 GHz, 500 kW, CW gyrotron,In 2005 Joint 30th International Conference on Infrared and Millimeter Waves and 13th International Conference on Terahertz Electronics, Month: Sep., Year: 2005. Pages: 387-388 vol. 2 |
Full-wave nonlinear analysis of nonradiative dielectric guide circuits including lumped elements,Journal: IEEE Transactions on Microwave Theory and Techniques, Month: Jan, Year: 2006. Pages: 173-179 |
A hybrid time divisioning scheme for power allocation in DMT-based DSL systems,Journal: IEEE Communications Letters, Month: Feb, Year: 2006. Pages: 73-75 |
Application of computational geometry to multiuser detection in CDMA,Journal: IEEE Transactions on Communications, Month: Feb, Year: 2006. Pages: 204-207 |
A novel method for down-conversion of multiple bandpass signals,Journal: IEEE Transactions on Wireless Communications, Month: Feb, Year: 2006. Pages: 427-434 |
Construction of turbo code interleaves from 3-regular Hamiltonian graphs,Journal: IEEE Communications Letters, Month: April, Year: 2006. Pages: 284-286 |
Gain-frequency response of nearby waveguide modes in vane-loaded gyro-TWT,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2006. Pages: 554-558 |
A New Framework for Constructing Mutually Orthogonal Complementary Sets and ZCZ Sequences,Journal: IEEE Transactions on Information Theory, Month: Aug, Year: 2006. Pages: 3817-3826 |
A low complexity symbol timing estimator for MIMO systems using two samples per symbol,Journal: IEEE Communications Letters, Month: July, Year: 2006. Pages: 525-527 |
Performance analysis of a predetection EGC receiver in exponentially correlated nakagami-m fading channels for noncoherent binary modulations,Journal: IEEE Transactions on Wireless Communications, Month: July, Year: 2006. Pages: 1634-1638 |
Finding failed element positions in linear antenna arrays using neural networks,In 2006 IEEE Antennas and Propagation Society International Symposium, Month: July, Year: 2006. Pages: 1675-1678 |
Author Index,Journal: Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, Year: 2013. Vienna Conference on Instrumentation 2013 Pages: 611 - 642 |
A Subspace Based Approach to Pulse Design with Application to UWB Communications,In 2006 IEEE International Conference on Communications, Month: June, Year: 2006. Pages: 1488-1493 |
Upper Bounds on the Rate of LDPC Codes for a Class of Finite-State Markov Channels,Journal: IEEE Transactions on Information Theory, Month: Feb, Year: 2007. Pages: 794-804 |
Modeling of Leakages in Nano-Scale DG MOSFET to Implement Low Power SRAM: A Device/Circuit Co-Design,In 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), Month: Jan, Year: 2007. Pages: 183-188 |
Evaluation of error probabilities in the presence of timing errors and fading,Journal: IEEE Transactions on Wireless Communications, Month: Feb, Year: 2007. Pages: 473-477 |
An ANN Application for Fault Finding in Antenna Arrays,Journal: IEEE Transactions on Antennas and Propagation, Month: March, Year: 2007. Pages: 775-777 |
Multicarrier On-Off Keying for Fast Frequency Hopping Multiple Access Systems in Rayleigh Fading Channels,Journal: IEEE Transactions on Wireless Communications, Month: March, Year: 2007. Pages: 769-774 |
Wideband Dual Feed Electromagnetically Coupled Circularly Polarized Microstrip Patch Antenna,In 2006 Joint 31st International Conference on Infrared Millimeter Waves and 14th International Conference on Teraherz Electronics, Month: Sep., Year: 2006. Pages: 438-438 |
Design of Multiple Beams Forming Network for Switched Beam Antenna System with E - Shaped Microstrip Antenna,In 2006 Joint 31st International Conference on Infrared Millimeter Waves and 14th International Conference on Teraherz Electronics, Month: Sep., Year: 2006. Pages: 439-439 |
Design Studies of a 250 GHz, 50-100 W, CW Second Harmonic Gyrotron,In 2006 Joint 31st International Conference on Infrared Millimeter Waves and 14th International Conference on Teraherz Electronics, Month: Sep., Year: 2006. Pages: 479-479 |
A Weighted Combining Approach to Multiuser Detection in Macrodiversity,In 2007 IEEE Wireless Communications and Networking Conference, Month: March, Year: 2007. Pages: 912-916 |
Distributed Admission Control for Power-Constrained Cellular Wireless Systems,In 2007 Canadian Conference on Electrical and Computer Engineering, Month: April, Year: 2007. Pages: 639-642 |
Design of a Family of ISI Free Pulses for Very High Data Rate UWB Wireless Systems,In 2007 Canadian Conference on Electrical and Computer Engineering, Month: April, Year: 2007. Pages: 1195-1198 |
Generalization of Hybrid Time Divisioning for Power Allocation in DMT-Based DSL Systems,Journal: IEEE Communications Letters, Month: June, Year: 2007. Pages: 504-506 |
Suitability of FH codes as TH codes for multiuser UWB Systems,In 2007 2nd International Conference on Communication Systems Software and Middleware, Month: Jan, Year: 2007. Pages: 1-7 |
A Rough Set Classifilcation Based Approach to Detect Hotspots in NOAA/AVHRR Images,In 2006 Fourth International Conference on Intelligent Sensing and Information Processing, Month: Oct, Year: 2006. Pages: 122-127 |
Non-Data Aided Symbol Timing Estimation in MIMO Systems,In 2007 IEEE International Conference on Communications, Month: June, Year: 2007. Pages: 5455-5461 |
Design of Architecture and Instruction-set of RASIP for SDR,In 2006 International Conference on Advanced Computing and Communications, Month: Dec, Year: 2006. Pages: 493-496 |
ISI-free pulses for high-data-rate ultra-wideband wireless systems,Journal: Canadian Journal of Electrical and Computer Engineering, Month: Fall, Year: 2007. Pages: 187-192 |
Impact of Noise Imbalance on the Performance of Predetection Dual-EGC Receivers Over Rayleigh Fading Channels,In IEEE GLOBECOM 2007 - IEEE Global Telecommunications Conference, Month: Nov, Year: 2007. Pages: 3596-3600 |
Crosstalk Analysis of an Inductively and Capacitively Coupled Interconnect Driven by a CMOS Gate,In 10th International Conference on Information Technology (ICIT 2007), Month: Dec, Year: 2007. Pages: 7-12 |
Unsupervised land cover classification of SAR images by contour tracing,In 2007 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2007. Pages: 547-550 |
Harmonic analysis of time-series NOAA/AVHRR images for hotspot detection and land features classification,In 2007 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2007. Pages: 2971-2974 |
Fusion of MODIS, AVHRR and ASTER data using curvelet transform for land cover classification,In 2007 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2007. Pages: 3082-3085 |
An efficient electromagnetic approach to train the SVM for depth estimation of shallow buried obi ects with microwave remote sensing data,In 2007 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2007. Pages: 4961-4964 |
Waveform Analysis and Delay Prediction in Simultaneously Switching CMOS Gate Driven Inductively and Capacitively Coupled On-Chip Interconnects,In 2007 6th IEEE Dallas Circuits and Systems Workshop on System-on-Chip, Month: Nov, Year: 2007. Pages: 1-4 |
Complete Mutually Orthogonal Golay Complementary Sets From Reed–Muller Codes,Journal: IEEE Transactions on Information Theory, Month: March, Year: 2008. Pages: 1339-1346 |
A Novel Semi-Fragile Image Watermarking, Authentication and Self-Restoration Technique Using the Slant Transform,In Third International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2007), Month: Nov, Year: 2007. Pages: 283-286 |
Improving Video Steganalysis Using Temporal Correlation,In Third International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2007), Month: Nov, Year: 2007. Pages: 287-290 |
Analytical modeling of threshold voltage for Nanoscale Symmetric Double Gate (SDG) MOSFET with Ultra Thin Body (UTB),In 2007 International Workshop on Physics of Semiconductor Devices, Month: Dec, Year: 2007. Pages: 277-280 |
Crosstalk Analysis of Simultaneously Switching Coupled Interconnects Driven by Unipolar Inputs through Heterogeneous Resistive Drivers,In 2007 International Conference on Emerging Technologies, Month: Nov, Year: 2007. Pages: 278-283 |
Crosstalk Analysis of Simultaneously Switching Inductively and Capacitively Coupled Interconnects Driven by CMOS Gate,In 2007 International Conference on Emerging Technologies, Month: Nov, Year: 2007. Pages: 284-289 |
Studies on a 120 GHz, 1.0 MW, longpulse gyrotron for plasma start-up in ITER,In 2007 Joint 32nd International Conference on Infrared and Millimeter Waves and the 15th International Conference on Terahertz Electronics, Month: Sep., Year: 2007. Pages: 46-47 |
Design studies on a 110 GHz, 1.0–1.5 MW, CW, gyrotron,In 2007 Joint 32nd International Conference on Infrared and Millimeter Waves and the 15th International Conference on Terahertz Electronics, Month: Sep., Year: 2007. Pages: 154-155 |
Crosstalk Analysis for a CMOS-Gate-Driven Coupled Interconnects,Journal: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Month: June, Year: 2008. Pages: 1150-1154 |
An Efficient Contextual Algorithm to Detect Subsurface Fires With NOAA/AVHRR Data,Journal: IEEE Transactions on Geoscience and Remote Sensing, Month: July, Year: 2008. Pages: 2005-2015 |
RF Behavior of a 200-kW CW Gyrotron,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2008. Pages: 631-636 |
ABER of Dual Predetection EGC in Correlated Nakagami-m Fading Channels with Arbitrary m,Journal: IEEE Communications Letters, Month: July, Year: 2008. Pages: 487-489 |
Studies on a 127.5 GHz, 1.0-1.3 MW, longpulse start-up gyrotron for ITER,In 2008 IEEE 35th International Conference on Plasma Science, Month: June, Year: 2008. Pages: 1-1 |
Design studies of a 42 GHz, 200-250 kW, CW/longpulse gyrotron,In 2008 IEEE 35th International Conference on Plasma Science, Month: June, Year: 2008. Pages: 1-1 |
Amplitude only compensation for failed antenna array using particle swarm optimization,In 2008 IEEE Antennas and Propagation Society International Symposium, Month: July, Year: 2008. Pages: 1-4 |
On the Connectivity of Circularly Distributed Nodes in Ad Hoc Wireless Networks,Journal: IEEE Communications Letters, Month: October, Year: 2008. Pages: 717-719 |
Studies on a 170 GHz, 1.0–1.3 MW, CW conventional cavity gyrotron,In 2008 33rd International Conference on Infrared, Millimeter and Terahertz Waves, Month: Sep., Year: 2008. Pages: 1-2 |
Dispersion and attenuation characteristics of Suspended Microstrip line on multilayer lossy silicon substrate at 60 GHz,In 2008 33rd International Conference on Infrared, Millimeter and Terahertz Waves, Month: Sep., Year: 2008. Pages: 1-2 |
Si, SiGe Nanowire Devices by Top–Down Technology and Their Applications,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2008. Pages: 3107-3118 |
A Low-Cost Algorithm to Find the Minimum Sampling Frequency for Multiple Bandpass Signals,Journal: IEEE Signal Processing Letters, Month: , Year: 2008. Pages: 877-880 |
Suspended microstrip line on multilayer ferroelectric - polymer composite film for ku-band tuneable applications,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 103-105 |
Microwave sensitivity analysis of soil texture at C-band with bistatic scatterometer for remote sensing,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 211-213 |
Multifractal analysis of SAR images for unsupervised classification,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 427-430 |
Design of RF window using Multi-objective particle swarm optimization,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 34-37 |
Efficiency enhancement of microstrip patch antenna with defected ground structure,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 729-731 |
Critical analysis of polarimetric PALSAR data for landcover classification,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Month: Nov, Year: 2008. Pages: 434-437 |
Investigation of stack as a low power design technique for 6-T SRAM cell,In TENCON 2008 - 2008 IEEE Region 10 Conference, Month: Nov, Year: 2008. Pages: 1-5 |
Fractal apertures in waveguides and conducting screens,In TENCON 2008 - 2008 IEEE Region 10 Conference, Month: Nov, Year: 2008. Pages: 1-5 |
An Analysis of Texture Measures in PCA-Based Unsupervised Classification of SAR Images,Journal: IEEE Geoscience and Remote Sensing Letters, Month: April, Year: 2009. Pages: 214-218 |
Performance Analysis of Postprocessing Algorithm and Implementation on ARM7TDMI,In 2009 International Conference on Computer Engineering and Technology, Month: Jan, Year: 2009. Pages: 560-564 |
Detection of Motion-Incoherent Components in Video Streams,Journal: IEEE Transactions on Information Forensics and Security, Month: March, Year: 2009. Pages: 49-58 |
A Critical Analysis to Generate Change Detection Map using SAR Interferometry for Land Subsidence Monitoring of New Orleans City of USA,In IGARSS 2008 - 2008 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2008. Pages: IV - 518-IV - 521 |
Sub-femto-farad capacitance-voltage characteristics of single channel gate-all-around nano wire transistors for electrical characterization of carrier transport,In 2008 IEEE International Electron Devices Meeting, Month: Dec, Year: 2008. Pages: 1-4 |
Structured LDPC Codes with Linear Complexity Encoding,In 2009 WRI International Conference on Communications and Mobile Computing, Month: Jan, Year: 2009. Pages: 200-203 |
Energy Efficient Layout for a Wireless Sensor Network using Multi-Objective Particle Swarm Optimization,In 2009 IEEE International Advance Computing Conference, Month: March, Year: 2009. Pages: 65-70 |
Parallelization of Particle Swarm Optimization and Its Implementation on Scalable Multi-core Architecture,In 2009 IEEE International Advance Computing Conference, Month: March, Year: 2009. Pages: 392-397 |
A 1.2 volt, 90nm, 16-bit three way segmented digital to analog converter (DAC) for low power applications,In 2009 10th International Symposium on Quality Electronic Design, Month: March, Year: 2009. Pages: 447-450 |
CAD of RF Windows Using Multiobjective Particle Swarm Optimization,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2009. Pages: 1104-1109 |
SDG vs ADG with tied and independent gate options in the subthreshold logic for ultra low power applications,In 2009 2nd International Workshop on Electron Devices and Semiconductor Technology, Month: June, Year: 2009. Pages: 1-4 |
Analytical modeling of Double Gate MOSFET using back gate insulator thickness variation,In 2009 2nd International Workshop on Electron Devices and Semiconductor Technology, Month: June, Year: 2009. Pages: 1-4 |
Development of window for first Indian gyrotron,In 2009 IEEE International Vacuum Electronics Conference, Month: April, Year: 2009. Pages: 499-500 |
Design of magnetron injection guns — A 3D simulation approach,In 2009 34th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2009. Pages: 1-2 |
Design studies of a quasi-optical launcher for a 170 GHz, 200–250 kW gyrotron,In 2009 34th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2009. Pages: 1-2 |
Resonator studies of a 170 GHz, 200–250 kW, long-pulse gyrotron,In 2009 34th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2009. Pages: 1-2 |
A New Approach to Hindi Text Steganography by Shifting Matra,In 2009 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2009. Pages: 199-202 |
Robust Distributed Optimization in Wireless Sensor Network,In 2009 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2009. Pages: 249-253 |
A comparative study of 6T, 8T and 9T decanano SRAM cell,In 2009 IEEE Symposium on Industrial Electronics Applications, Month: Oct, Year: 2009. Pages: 889-894 |
Parallelization of particle swarm optimization using message passing interfaces (MPIs),In 2009 World Congress on Nature Biologically Inspired Computing (NaBIC), Month: Dec, Year: 2009. Pages: 67-71 |
Efficient design of pulse compression codes using multiobjective genetic algorithm,In 2009 World Congress on Nature Biologically Inspired Computing (NaBIC), Month: Dec, Year: 2009. Pages: 324-329 |
A PSO application for locating defective elements in antenna arrays,In 2009 World Congress on Nature Biologically Inspired Computing (NaBIC), Month: Dec, Year: 2009. Pages: 1094-1098 |
Analysis of coaxial fed dual patch multilayer x/ku band antenna using artificial neural networks,In 2009 World Congress on Nature Biologically Inspired Computing (NaBIC), Month: Dec, Year: 2009. Pages: 1111-1114 |
Near optimal training sequences for low complexity symbol timing estimation in MIMO systems,Journal: IEEE Transactions on Communications, Month: January, Year: 2010. Pages: 281-288 |
Script Recognition—A Review,Journal: IEEE Transactions on Pattern Analysis and Machine Intelligence, Month: Dec, Year: 2010. Pages: 2142-2161 |
Device and Circuit Co-Design Robustness Studies in the Subthreshold Logic for Ultralow-Power Applications for 32 nm CMOS,Journal: IEEE Transactions on Electron Devices, Month: March, Year: 2010. Pages: 654-664 |
Investigation of robustness and performance comparisons of 3T - 4T DG-FinFETs for ultra low power subthreshold logic,In 2009 4th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2009. Pages: 1-4 |
Scaling issues in nanoscale double gate FinFETs with source/drain underlap,In 2009 4th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2009. Pages: 1-4 |
Mixed mode simulation of heavy ion impact on 3D SRAM cell,In 2009 4th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2009. Pages: 1-4 |
Generation of Pulse Compression Codes Using NSGA-II,In 2009 Annual IEEE India Conference, Month: Dec, Year: 2009. Pages: 1-4 |
Rad-Hard 32 nm FinFET Based Inverters,In 2009 Annual IEEE India Conference, Month: Dec, Year: 2009. Pages: 1-4 |
Performance analysis of Alamouti scheme with transmit antenna selection in MISO systems,In 2010 National Conference On Communications (NCC), Month: Jan, Year: 2010. Pages: 1-5 |
A genetically trained neural network application for fault finding in antenna arrays,In 2009 Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2009. Pages: 1-4 |
An Efficient Auction Based TATKAL Scheme for Indian Railway,In 2010 International Conference on Innovative Computing and Communication and 2010 Asia-Pacific Conference on Information Technology and Ocean Engineering, Month: Jan, Year: 2010. Pages: 153-157 |
Radiation From Rectangular Waveguide-Fed Fractal Apertures,Journal: IEEE Transactions on Antennas and Propagation, Month: June, Year: 2010. Pages: 2088-2093 |
Study of effect of room window on through wall imaging in UWB range,In 2009 International Conference on Emerging Trends in Electronic and Photonic Devices Systems, Month: Dec, Year: 2009. Pages: 395-398 |
Double gate underlap FinFET device optimization and application in SRAM design at 15 nm,In 2009 International Conference on Emerging Trends in Electronic and Photonic Devices Systems, Month: Dec, Year: 2009. Pages: 66-69 |
Keynote address,In 2010 International Conference on Recent Trends in Information, Telecommunication and Computing, Month: March, Year: 2010. Pages: xvi-xx |
An efficient auction based ticket booking scheme for NBA all-star event championship,In 2010 International Symposium on Computer, Communication, Control and Automation (3CA), Month: May, Year: 2010. Pages: 287-290 |
A new approach for testing CMOS circuits for glitches,In 2010 International Conference On Computer Design and Applications, Month: June, Year: 2010. Pages: V3-595-V3-598 |
Closed Form BER Expressions for BPSK OFDM Systems with Frequency Offset,Journal: IEEE Communications Letters, Month: August, Year: 2010. Pages: 731-733 |
An efficient multiunit VCG mechanism for the ticket booking scheme of the J-league football tournament,In 2010 8th IEEE International Conference on Industrial Informatics, Month: July, Year: 2010. Pages: 704-707 |
Knowledge Management with Case-Based Reasoning applied on Fire Emergency Handling,In 2010 8th IEEE International Conference on Industrial Informatics, Month: July, Year: 2010. Pages: 708-713 |
A performance comparison between data-based KMS and ontology based KMS for e-Tourism Services,In 2010 8th IEEE International Conference on Industrial Informatics, Month: July, Year: 2010. Pages: 698-703 |
Finite Duration Root Nyquist Pulses with Maximum In-Band Fractional Energy,Journal: IEEE Communications Letters, Month: Sep., Year: 2010. Pages: 797-799 |
LCS based text steganography through Indian Languages,In 2010 3rd International Conference on Computer Science and Information Technology, Month: July, Year: 2010. Pages: 53-57 |
Evolutionary algorithms for the design of specific micro-/millimeter wave component,In 35th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2010. Pages: 1-3 |
Design of Sierpinski Carpet antenna using two different feeding mechanisms for WLAN applications,In 35th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2010. Pages: 1-3 |
On the size reduction of microstrip antenna with DGS,In 35th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2010. Pages: 1-3 |
Design studies of stacked u-slot microstrip patch antenna for dual band operation,In 35th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2010. Pages: 1-2 |
Effect of aggressor driver width on crosstalk for static and dynamic switching of victim line,In 2010 International Conference on Computer and Communication Technology (ICCCT), Month: Sep., Year: 2010. Pages: 667-672 |
A novel method for diagnosis of board level interconnect faults using boundary scan,In 2010 International Conference on Computer and Communication Technology (ICCCT), Month: Sep., Year: 2010. Pages: 270-275 |
Deep sub-micron SRAM design for low leakage,In 2010 International Conference on Computer and Communication Technology (ICCCT), Month: Sep., Year: 2010. Pages: 265-269 |
Text steganography through Indian languages using feature coding method,In 2010 2nd International Conference on Computer Technology and Development, Month: Nov, Year: 2010. Pages: 501-505 |
Linguistic approach for text steganography through Indian text,In 2010 2nd International Conference on Computer Technology and Development, Month: Nov, Year: 2010. Pages: 318-322 |
A multi-purpose objective quality metric for image watermarking,In 2010 IEEE International Conference on Image Processing, Month: Sep., Year: 2010. Pages: 2589-2592 |
A subjective study of visibility thresholds for wavelet domain watermarking,In 2010 IEEE International Conference on Image Processing, Month: Sep., Year: 2010. Pages: 201-204 |
Crosstalk Estimation in Dual Aggressor Environment,In 2010 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2010. Pages: 395-397 |
Propagation Delay Variations under Process Deviation in Driver Interconnect Load System,In 2010 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2010. Pages: 408-410 |
Algorithm Design for Generation of Fault Dictionary in Analog VLSI Circuits,In 2010 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2010. Pages: 374-376 |
VLSI Architecture Design and Implementation for Application Specific CORDIC Processor,In 2010 International Conference on Advances in Recent Technologies in Communication and Computing, Month: Oct, Year: 2010. Pages: 191-193 |
Implementation of Coordinate Rotation Algorithm for Digital Phase Locked Loop System in In-Phase and Quadrature Channel Signal Processing,In 2010 3rd International Conference on Emerging Trends in Engineering and Technology, Month: Nov, Year: 2010. Pages: 721-725 |
Crosstalk and Power Reduction Using Bus Encoding in RC Coupled VLSI Interconnects,In 2010 3rd International Conference on Emerging Trends in Engineering and Technology, Month: Nov, Year: 2010. Pages: 735-740 |
Effect of Mutual Inductance and Coupling Capacitance on Propagation Delay and Peak Overshoot in Dynamically Switching Inputs,In 2010 3rd International Conference on Emerging Trends in Engineering and Technology, Month: Nov, Year: 2010. Pages: 765-769 |
Quantum Inversion Charge and Drain Current Analysis for Double Gate FinFET Device: Analytical Modeling and TCAD Simulation Approach,In 2010 Fourth UKSim European Symposium on Computer Modeling and Simulation, Month: Nov, Year: 2010. Pages: 526-530 |
Effect of Border Traps on Electron Mobility of Nano-Scale MOS Devices,In 2010 International Symposium on Electronic System Design, Month: Dec, Year: 2010. Pages: 91-94 |
Design and implementation of CORDIC processor for complex DPLL,In India International Conference on Power Electronics 2010 (IICPE2010), Month: Jan, Year: 2011. Pages: 1-5 |
Fractional timing offset and channel estimation in OFDM systems over flat fading channels,In 2011 National Conference on Communications (NCC), Month: Jan, Year: 2011. Pages: 1-3 |
Fault finding in antenna arrays using bacteria foraging optimization technique,In 2011 National Conference on Communications (NCC), Month: Jan, Year: 2011. Pages: 1-5 |
Null steering in failed antenna array,In 2011 National Conference on Communications (NCC), Month: Jan, Year: 2011. Pages: 1-4 |
A Qualitative Approach to Optimize Coupling Capacitance for Simultaneously Switching Scenario in Coupled VLSI Interconnects,In 2011 International Conference on Devices and Communications (ICDeCom), Month: Feb, Year: 2011. Pages: 1-5 |
Design of Ternary Content Addressable Memory (TCAM) with 180 nm,In 2011 International Conference on Devices and Communications (ICDeCom), Month: Feb, Year: 2011. Pages: 1-5 |
Implementation of Adaptive FIR Filter for Pulse Doppler Radar,In 2011 International Conference on Devices and Communications (ICDeCom), Month: Feb, Year: 2011. Pages: 1-5 |
Effect of Driver Size and Number of Shells on Propagation Delay in MWCNT Interconnects,In 2011 International Conference on Devices and Communications (ICDeCom), Month: Feb, Year: 2011. Pages: 1-5 |
Design studies of a 460 GHz, 30–50 W, CW second harmonic gyrotron,In 2011 IEEE International Vacuum Electronics Conference (IVEC), Month: Feb, Year: 2011. Pages: 59-60 |
Recent results in collaborative studies on the design of application specific gyrotrons,In 2011 IEEE International Vacuum Electronics Conference (IVEC), Month: Feb, Year: 2011. Pages: 109-110 |
Design of a TM01-TE11 circular bend mode converter operating at 3 GHz,In 2011 IEEE International Vacuum Electronics Conference (IVEC), Month: Feb, Year: 2011. Pages: 177-178 |
Design studies of the output system of a 95 GHz, 100 KW, CW Gyrotron,In 2011 IEEE International Vacuum Electronics Conference (IVEC), Month: Feb, Year: 2011. Pages: 291-292 |
Mode selection and resonator design studies of a 95 GHz, 100 KW, CW Gyrotron,In 2011 IEEE International Vacuum Electronics Conference (IVEC), Month: Feb, Year: 2011. Pages: 293-294 |
Case Based Reasoning methodology for diagnosis of Swine Flu,In 2011 IEEE GCC Conference and Exhibition (GCC), Month: Feb, Year: 2011. Pages: 132-135 |
Characteristics and applications of Polymeric Thin Film Transistor: Prospects and challenges,In 2011 International Conference on Emerging Trends in Electrical and Computer Technology, Month: March, Year: 2011. Pages: 702-707 |
Efficient nanoscale VLSI standard cell library characterization using a novel delay model,In 2011 12th International Symposium on Quality Electronic Design, Month: March, Year: 2011. Pages: 1-6 |
A high performance vertical Si nanowire CMOS for ultra high density circuits,In 2010 IEEE Asia Pacific Conference on Circuits and Systems, Month: Dec, Year: 2010. Pages: 1219-1222 |
Vertical Silicon Nanowire Gate-All-Around Field Effect Transistor Based Nanoscale CMOS,Journal: IEEE Electron Device Letters, Month: Aug, Year: 2011. Pages: 1011-1013 |
A Greedy Approach to Text Steganography Using Properties of Sentences,In 2011 Eighth International Conference on Information Technology: New Generations, Month: April, Year: 2011. Pages: 30-35 |
Monte Carlo analysis of propagation delay due to process induced line parasitic variations in VLSI interconnects,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 6-10 |
A modified algorithm for maternal heart rate detection using RR interval,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 39-42 |
Propagation delay deviations due to process tempted driver width variations,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 89-92 |
Performance comparison between single wall carbon nanotube bundle and multiwall carbon nanotube for global interconnects,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 104-109 |
Reduction of crosstalk in RC modeled interconnects with low power encoder,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 115-120 |
Two dimensional analytical subthreshold swing model of a double gate MOSFET with Gate-S/D underlap, asymmetric and independent gate features,In 2011 International Conference on Electronic Devices, Systems and Applications (ICEDSA), Month: April, Year: 2011. Pages: 67-72 |
Two dimensional analytical subthreshold current model of a generic double gate MOSFET with gate underlap,In 2011 International Conference on Electronic Devices, Systems and Applications (ICEDSA), Month: April, Year: 2011. Pages: 246-249 |
Organic Thin Film Transistor Architecture, Parameters and their Applications,In 2011 International Conference on Communication Systems and Network Technologies, Month: June, Year: 2011. Pages: 436-440 |
Comparative Analysis of SEU in FinFET SRAM Cells for Superthreshold and Subthreshold Supply Voltage Operation,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2011. Pages: 3630-3634 |
A Proposed Output Buffer at 90 nm Technology with Minimum Signal Switching Noise at 83.3MHz,In 2011 IEEE Computer Society Annual Symposium on VLSI, Month: July, Year: 2011. Pages: 108-113 |
Effect of Gate-S/D Underlap, Asymmetric and Independent Gate Features in the Minimization of Short Channel Effects in Nanoscale DGMOSFET,In 2011 IEEE Computer Society Annual Symposium on VLSI, Month: July, Year: 2011. Pages: 37-42 |
Nanoscale FinFET Based SRAM Cell Design: Analysis of Performance Metric, Process Variation, Underlapped FinFET, and Temperature Effect,Journal: IEEE Circuits and Systems Magazine, Month: thirdquarter, Year: 2011. Pages: 38-50 |
Neural network based particle swarm optimizer for design of dual resonance X/Ku band stacked patch antenna,In 2011 IEEE International Symposium on Antennas and Propagation (APSURSI), Month: July, Year: 2011. Pages: 2932-2935 |
A novel miniature antenna for Ka band applications at 33 GHz,In 2011 International Conference on Multimedia Technology, Month: July, Year: 2011. Pages: 2880-2883 |
Application of CBR on viral fever detection system(VFDS),In 2011 9th IEEE International Conference on Industrial Informatics, Month: July, Year: 2011. Pages: 660-665 |
Recognition of target in through wall imaging using shape feature extraction,In 2011 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2011. Pages: 957-960 |
Series switched resonator based dual-band oscillator,In 2011 XXXth URSI General Assembly and Scientific Symposium, Month: Aug, Year: 2011. Pages: 1-4 |
Implementation of NTRU PKCS using array for polynomials upto degree 147 with private key protection algorithm using XOR function only,In 2011 11th International Conference on ITS Telecommunications, Month: Aug, Year: 2011. Pages: 646-649 |
A Fusion Approach to Retrieve Soil Moisture With SAR and Optical Data,Journal: IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, Month: Feb, Year: 2012. Pages: 196-206 |
Propagation delay deviations due to process induced line parasitic variations in global VLSI interconnects,In 2011 IEEE Recent Advances in Intelligent Computational Systems, Month: Sep., Year: 2011. Pages: 599-604 |
Organic thin film transistors characteristics parameters, structures and their applications,In 2011 IEEE Recent Advances in Intelligent Computational Systems, Month: Sep., Year: 2011. Pages: 706-711 |
Comparison of propagation delay characteristics for single-walled CNT bundle and multiwalled CNT in global VLSI interconnects,In 2011 IEEE Recent Advances in Intelligent Computational Systems, Month: Sep., Year: 2011. Pages: 911-916 |
Crosstalk avoidance in RLC modeled interconnects using low power encoder,In 2011 IEEE Recent Advances in Intelligent Computational Systems, Month: Sep., Year: 2011. Pages: 921-924 |
Vertical organic thin film transistor to achieve sub ten micron channel length devices,In 2011 2nd International Conference on Computer and Communication Technology (ICCCT-2011), Month: Sep., Year: 2011. Pages: 197-202 |
SAR and optical data utilization for soil moisture retrieval in vegetated region,In 2011 3rd International Asia-Pacific Conference on Synthetic Aperture Radar (APSAR), Month: Sep., Year: 2011. Pages: 1-4 |
Near-field to far-field transformation by using antenna array factor,In 2011 3rd International Asia-Pacific Conference on Synthetic Aperture Radar (APSAR), Month: Sep., Year: 2011. Pages: 1-4 |
Role of polarimetric indices based on statistical measures to identify various land cover classes in ALOS PALSAR data,In 2011 3rd International Asia-Pacific Conference on Synthetic Aperture Radar (APSAR), Month: Sep., Year: 2011. Pages: 1-4 |
Design of Custom-Made Fractal Multi-Band Antennas Using ANN-PSO [Antenna Designer's Notebook],Journal: IEEE Antennas and Propagation Magazine, Month: Aug, Year: 2011. Pages: 94-101 |
Design of triode-type Magnetron Injection Gun for 460 GHz, 50–100 W, gyrotron for Medical Spectroscopy,In 2011 International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Oct, Year: 2011. Pages: 1-2 |
Design studies of a quasi-optical mode converter and output system for a second harmonic sub terahertz gyrotron,In 2011 International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Oct, Year: 2011. Pages: 1-2 |
Concurrent Dual Band Transmitter for 2.4/5.2GHz Wireless LAN Applications,In 2011 International Symposium on Electronic System Design, Month: Dec, Year: 2011. Pages: 1-5 |
Optimization of vertical silicon nanowire based solar cell using 3D TCAD simulation,In 2011 International Symposium on Integrated Circuits, Month: Dec, Year: 2011. Pages: 528-531 |
Design of cognitive radio engine using artificial bee colony algorithm,In 2011 International Conference on Energy, Automation and Signal, Month: Dec, Year: 2011. Pages: 1-4 |
Radiation Effects in Si-NW GAA FET and CMOS Inverter: A TCAD Simulation Study,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2012. Pages: 1563-1566 |
Closed form BER expressions for BPSK OFDM systems with fractional timing offset and carrier frequency offset,In 2012 National Conference on Communications (NCC), Month: Feb, Year: 2012. Pages: 1-4 |
A compact narrow band microstrip bandpass filter with defected ground structure (DGS),In 2012 National Conference on Communications (NCC), Month: Feb, Year: 2012. Pages: 1-4 |
Proximity coupled stacked circular disc microstrip antenna with reduced size and enhanced bandwidth using DGS for WLAN/WiMAX applications,In 2012 IEEE Students' Conference on Electrical, Electronics and Computer Science, Month: March, Year: 2012. Pages: 1-4 |
Analysis of crosstalk delay and area for MWNT and bundled SWNT in global VLSI interconnects,In Thirteenth International Symposium on Quality Electronic Design (ISQED), Month: March, Year: 2012. Pages: 291-297 |
An accurate current source model for CMOS based combinational logic cell,In Thirteenth International Symposium on Quality Electronic Design (ISQED), Month: March, Year: 2012. Pages: 561-565 |
Process induced mechanical stress aware poly-pitch optimization for enhanced circuit performance,In Thirteenth International Symposium on Quality Electronic Design (ISQED), Month: March, Year: 2012. Pages: 717-722 |
Organic thin film transistors: Analytical modeling and structures analysis,In 2012 1st International Conference on Recent Advances in Information Technology (RAIT), Month: March, Year: 2012. Pages: 701-706 |
Comparison of crosstalk delay between single and bundled SWNT for global VLSI interconnects,In 2012 1st International Conference on Recent Advances in Information Technology (RAIT), Month: March, Year: 2012. Pages: 564-569 |
Performance Comparision of Mixed CNT Bundle in Global VLSI Interconnect,In 2012 International Conference on Communication Systems and Network Technologies, Month: May, Year: 2012. Pages: 790-793 |
Modeling and Structural Analysis of Organic Field Effect Transistor,In 2012 International Conference on Communication Systems and Network Technologies, Month: May, Year: 2012. Pages: 794-799 |
Fractional timing offset and channel estimation for MIMO OFDM systems over flat fading channels,In 2012 IEEE Wireless Communications and Networking Conference (WCNC), Month: April, Year: 2012. Pages: 322-325 |
Device Circuit Co-Design Issues in Vertical Nanowire CMOS Platform,Journal: IEEE Electron Device Letters, Month: July, Year: 2012. Pages: 934-936 |
Analytical modeling and staggered-planar structural analysis for organic field effect transistor,In 2012 IEEE International Conference on Signal Processing, Computing and Control, Month: March, Year: 2012. Pages: 1-6 |
Analysis of MWCNT and Bundled SWCNT Interconnects: Impact on Crosstalk and Area,Journal: IEEE Electron Device Letters, Month: Aug, Year: 2012. Pages: 1180-1182 |
LMS algorithm based error correction technique in in-phase and quadrature channel signal processing,In 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), Month: April, Year: 2011. Pages: 226-229 |
Stacked patch antenna design using ANN based BFO,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2011. Pages: 1-4 |
A compact array with low mutual coupling using defected ground structures,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2011. Pages: 1-4 |
Pattern recovery in failed antenna arrays by partial control of real-weights,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2011. Pages: 1-4 |
Design studies of ultra wideband microstrip bandpass filter with T-shaped defected ground structure controlled by inter-digital capacitance,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2011. Pages: 1-4 |
Tuning Source/Drain Extension Profile for Current Matching in Nanowire CMOS Logic,Journal: IEEE Transactions on Nanotechnology, Month: Sep., Year: 2012. Pages: 1033-1039 |
Gate-Pitch Optimization for Circuit Design Using Strain-Engineered Multifinger Gate Structures,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2012. Pages: 3120-3123 |
Compact Modeling of a Generic Double-Gate MOSFET With Gate–S/D Underlap for Subthreshold Operation,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2012. Pages: 2846-2849 |
Multiobjective cooperative spectrum sensing in cognitive radio using cat swarm optimization,In 2012 Wireless Advanced (WiAd), Month: June, Year: 2012. Pages: 44-48 |
Continuously tunable concurrent dual-frequency impedance matching network,In 2012 IEEE 7th International Conference on Industrial and Information Systems (ICIIS), Month: Aug, Year: 2012. Pages: 1-3 |
Performance comparison of microstrip patch antenna for 94 GHz imaging applications,In 2012 IEEE 7th International Conference on Industrial and Information Systems (ICIIS), Month: Aug, Year: 2012. Pages: 1-4 |
Design of customized fractal FSS,In Proceedings of the 2012 IEEE International Symposium on Antennas and Propagation, Month: July, Year: 2012. Pages: 1-2 |
Neuro-modelling of CSRR for antenna applications,In Proceedings of the 2012 IEEE International Symposium on Antennas and Propagation, Month: July, Year: 2012. Pages: 1-2 |
Studies on a 0.5 MW, 42 GHz CW, conventional cavity gyrotron,In 2012 37th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2012. Pages: 1-2 |
Feasibility studies of a 1.0 MW, 204 GHz CW, conventional cavity gyrotron for future thermonuclear fusion reactors,In 2012 37th International Conference on Infrared, Millimeter, and Terahertz Waves, Month: Sep., Year: 2012. Pages: 1-2 |
Dynamic Crosstalk Analysis in RLC Modeled Interconnects Using FDTD Method,In 2012 Third International Conference on Computer and Communication Technology, Month: Nov, Year: 2012. Pages: 326-330 |
A TCAD approach to evaluate channel electron density of double gate symmetric n-tunnel FET,In 2012 Annual IEEE India Conference (INDICON), Month: Dec, Year: 2012. Pages: 577-581 |
Performance analysis of dual-k spacer at source side for underlap FinFETs,In 2012 Annual IEEE India Conference (INDICON), Month: Dec, Year: 2012. Pages: 915-919 |
Effect of dielectric thickness on performance of dual gate organic field effect transistors,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 141-144 |
Dual band microstrip patch antenna for wireless applications at 5.2 GHz and 5.8 GHz using CSSRR,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 228-230 |
Analysis of crosstalk delay and power dissipation in mixed CNT bundle interconnects,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 361-364 |
Crosstalk effect in coupled interconnect lines using FDTD method,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 365-368 |
Leakage current reduction using modified gate replacement technique for CMOS VLSI circuit,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 464-467 |
Dynamic crosstalk effect in multi-layer graphene nanoribbon interconnects,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 472-475 |
Novel modeling approach for multi-walled CNT bundle in global VLSI interconnects,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Month: Dec, Year: 2012. Pages: 476-479 |
Analysis of propagation delay in mixed carbon nanotube bundle as global VLSI interconnects,In 2012 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics, Month: Dec, Year: 2012. Pages: 118-121 |
Optimized delay and power performances in multilayer graphene nanoribbon interconnects,In 2012 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics, Month: Dec, Year: 2012. Pages: 122-125 |
Enhanced bias-flip rectifier with ultra-low power control for piezo electric energy harvester in the microwatt application scenario,In 2012 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics, Month: Dec, Year: 2012. Pages: 132-137 |
FinFET device Capacitances: Impact of input transition time and output load,In 2013 IEEE 5th International Nanoelectronics Conference (INEC), Month: Jan, Year: 2013. Pages: 371-373 |
CASHIER: A Cache Energy Saving Technique for QoS Systems,In 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems, Month: Jan, Year: 2013. Pages: 43-48 |
A design of microstrip bandpass filter with narrow bandwidth using DGS/DMS for WLAN,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-4 |
Investigation of fractal DGS microwave filters,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-4 |
Multi-level SINR thresholding for reduced complexity MIMO detection,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-5 |
Concurrent 85GHz/94GHz slotted gap coupled parasitic microstrip antenna for millimeter wave applications,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-5 |
Design, analysis and simulation of hybrid integrated NRD guide based QPSK modulator for LMDS applications at 28GHz,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-5 |
Adaptable concurrent dual-band symmetrical stubbed T-junction power splitter,In 2013 National Conference on Communications (NCC), Month: Feb, Year: 2013. Pages: 1-5 |
Design and Analysis of Analog Performance of Dual-k Spacer Underlap N/P-FinFET at 12 nm Gate Length,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2013. Pages: 1529-1535 |
Image compression using wavelet based compressed sensing and vector quantization,In 2012 IEEE 11th International Conference on Signal Processing, Month: Oct, Year: 2012. Pages: 640-645 |
A sparse representation based approach for steganography,In 2012 IEEE 11th International Conference on Signal Processing, Month: Oct, Year: 2012. Pages: 1678-1681 |
Distributed compressive data gathering in wireless sensor networks,In 2012 IEEE 11th International Conference on Signal Processing, Month: Oct, Year: 2012. Pages: 2110-2115 |
A family of power allocation schemes achieving high Secondary User rates in spectrum sharing OFDM cognitive radio,In 2012 IEEE Global Communications Conference (GLOBECOM), Month: Dec, Year: 2012. Pages: 1144-1149 |
Analysis of mixed CNT bundle interconnects: Impact on delay and power dissipation,In 2012 5th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2012. Pages: 1-4 |
Comparison of propagation delay in single- and multi-layer graphene nanoribbon interconnects,In 2012 5th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2012. Pages: 1-4 |
Optimized delay and power performances for multi-walled CNT in global VLSI interconnects,In 2012 5th International Conference on Computers and Devices for Communication (CODEC), Month: Dec, Year: 2012. Pages: 1-4 |
An efficient method for ECSM characterization of CMOS inverter in nanometer range technologies,In International Symposium on Quality Electronic Design (ISQED), Month: March, Year: 2013. Pages: 665-669 |
Analysis of Contact Resistance Effect on Performance of Organic Thin Film Transistors,In 2012 International Symposium on Electronic System Design (ISED), Month: Dec, Year: 2012. Pages: 198-202 |
The Impact of Process-Induced Mechanical Stress in Narrow Width Devices and Circuit Design Issues,In 2012 International Symposium on Electronic System Design (ISED), Month: Dec, Year: 2012. Pages: 213-215 |
Concurrent dual-band RF system for human respiration rate and heartbeat detection,In 2013 IEEE Conference on Information Communication Technologies, Month: April, Year: 2013. Pages: 563-567 |
Conditional Entropy Based User Selection for Multiuser MIMO Systems,Journal: IEEE Communications Letters, Month: August, Year: 2013. Pages: 1628-1631 |
A Statistical-Measure-Based Adaptive Land Cover Classification Algorithm by Efficient Utilization of Polarimetric SAR Observables,Journal: IEEE Transactions on Geoscience and Remote Sensing, Month: May, Year: 2014. Pages: 2889-2900 |
Vertical Nanowire CMOS Parasitic Modeling and its Performance Analysis,Journal: IEEE Transactions on Electron Devices, Month: Sep., Year: 2013. Pages: 2943-2950 |
Parallel Transient Simulation of Package/Board Power Distribution Networks Based on a 2-D Overlapping Partitioning Methodology,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Month: Dec, Year: 2013. Pages: 2101-2112 |
Automatic Sensor Drift Detection and Correction Using Spatial Kriging and Kalman Filtering,In 2013 IEEE International Conference on Distributed Computing in Sensor Systems, Month: May, Year: 2013. Pages: 183-190 |
Nitrogen-Terminated Semiconducting Zigzag GNR FET With Negative Differential Resistance,Journal: IEEE Transactions on Nanotechnology, Month: Jan, Year: 2014. Pages: 16-22 |
Flexible and Dynamic Power Allocation in Broadband Multi-Beam Satellites,Journal: IEEE Communications Letters, Month: Sep., Year: 2013. Pages: 1722-1725 |
High-Performance and Robust SRAM Cell Based on Asymmetric Dual-K Spacer FinFETs,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2013. Pages: 3371-3377 |
MASTER: A Multicore Cache Energy-Saving Technique Using Dynamic Cache Reconfiguration,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Month: Aug, Year: 2014. Pages: 1653-1665 |
A pilot study on the use of accelerometer sensors for monitoring post acute stroke patients,In 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Month: July, Year: 2013. Pages: 957-960 |
Motor recovery monitoring in post acute stroke patients using wireless accelerometer and cross-correlation,In 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Month: July, Year: 2013. Pages: 6703-6706 |
Mobile augmented reality based interactive teaching learning system with low computation approach,In 2013 IEEE Symposium on Computational Intelligence in Control and Automation (CICA), Month: April, Year: 2013. Pages: 97-103 |
Concurrent Dual Band Filters Using Plasmonic Slot Waveguide,Journal: IEEE Photonics Technology Letters, Month: Nov, Year: 2013. Pages: 2217-2220 |
Analytical Modeling of a Double Gate MOSFET Considering Source/Drain Lateral Gaussian Doping Profile,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2013. Pages: 3705-3709 |
A Triode-Type Magnetron Injection Gun for a Dual Frequency Regime Gyrotron Operating at 42/84 GHz,Journal: IEEE Transactions on Plasma Science, Month: Nov, Year: 2013. Pages: 3115-3121 |
Parameter tuning for multi-prototype possibilistic classifier with reject options,In 2013 IEEE International Conference on Fuzzy Systems (FUZZ-IEEE), Month: July, Year: 2013. Pages: 1-6 |
Vertical nanowire MOSFET parasitic resistance modeling,In 2013 IEEE International Conference of Electron Devices and Solid-state Circuits, Month: June, Year: 2013. Pages: 1-2 |
Dynamic crosstalk effect in CNT bus architecture,In 2012 International Conference on Emerging Electronics, Month: Dec, Year: 2012. Pages: 1-4 |
FlexiWay: A cache energy saving technique using fine-grained cache reconfiguration,In 2013 IEEE 31st International Conference on Computer Design (ICCD), Month: Oct, Year: 2013. Pages: 100-107 |
Mode purity estimation of the gyrotron RF beam,In 2013 38th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Month: Sep., Year: 2013. Pages: 1-2 |
Effect of Load Capacitance and Input Transition Time on FinFET Inverter Capacitances,Journal: IEEE Transactions on Electron Devices, Month: Jan, Year: 2014. Pages: 30-36 |
clusiVAT: A mixed visual/numerical clustering algorithm for big data,In 2013 IEEE International Conference on Big Data, Month: Oct, Year: 2013. Pages: 112-117 |
Comparative study of bio-inspired optimization techniques in antenna array failure compensation,In 2013 IEEE Antennas and Propagation Society International Symposium (APSURSI), Month: July, Year: 2013. Pages: 1232-1233 |
Design and analysis of subsystems for concurrent dual-band transceiver for WLAN applications,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Month: Dec, Year: 2013. Pages: 57-61 |
Drive matching issues in multi gate CMOS inverter,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Month: Dec, Year: 2013. Pages: 349-354 |
Effect of contact thickness on electrical properties of Organic Thin Film Transistors,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Month: Dec, Year: 2013. Pages: 387-391 |
Dual band CSSRR inspired microstrip patch antenna for enhancing antenna performance and size reduction,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Month: Dec, Year: 2013. Pages: 495-497 |
An approach to determine possible existence of water ice deposits on lunar craters using minisar data,In 2013 IEEE International Geoscience and Remote Sensing Symposium - IGARSS, Month: July, Year: 2013. Pages: 21-24 |
Analysis and retrieval of soil parameters with specular scattering data at different incidence angle,In 2013 IEEE International Geoscience and Remote Sensing Symposium - IGARSS, Month: July, Year: 2013. Pages: 755-758 |
An impedance based approach to determine soil moisture using radarsat-2 data,In 2013 IEEE International Geoscience and Remote Sensing Symposium - IGARSS, Month: July, Year: 2013. Pages: 2724-2727 |
Role of signal processing for estimating the wall thickness for TWI system,In 2013 Fourth International Conference on Computing, Communications and Networking Technologies (ICCCNT), Month: July, Year: 2013. Pages: 1-7 |
An Analytical Delay Model for Mechanical Stress Induced Systematic Variability Analysis in Nanoscale Circuit Design,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Month: June, Year: 2014. Pages: 1714-1726 |
Study and characterization of lunar craters using Mini-SAR data of Chandrayaan-1,In 2013 International Conference on Microwave and Photonics (ICMAP), Month: Dec, Year: 2013. Pages: 1-5 |
Partice Swarm Optimization based fusion of MODIS and PALSAR images for hotspot detection,In 2013 International Conference on Microwave and Photonics (ICMAP), Month: Dec, Year: 2013. Pages: 1-6 |
Land cover classification using EM algorithm based multi-polarized ALOS PALSAR image fusion,In 2013 International Conference on Microwave and Photonics (ICMAP), Month: Dec, Year: 2013. Pages: 1-5 |
Probability density functions based study for identification of land cover using SAR data,In 2013 International Conference on Microwave and Photonics (ICMAP), Month: Dec, Year: 2013. Pages: 1-5 |
Design Metrics Improvement for SRAMs Using Symmetric Dual- Spacer (SymD-) FinFETs,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2014. Pages: 1123-1130 |
Corrections to “Nitrogen Terminated Semiconducting Zigzag GNR FET with Negative Differential Resistance” [Jan 14 16-22],Journal: IEEE Transactions on Nanotechnology, Month: March, Year: 2014. Pages: 404-404 |
Modeling of In-Plane Magnetic Tunnel Junction for Mixed Mode Simulations,Journal: IEEE Transactions on Magnetics, Month: Aug, Year: 2014. Pages: 1-7 |
A Degradation Model of Double Gate and Gate-All-Around MOSFETs With Interface Trapped Charges Including Effects of Channel Mobile Charge Carriers,Journal: IEEE Transactions on Device and Materials Reliability, Month: June, Year: 2014. Pages: 689-697 |
Novel Design Methodology Using Sizing in Nanowire CMOS Logic,Journal: IEEE Transactions on Nanotechnology, Month: July, Year: 2014. Pages: 650-658 |
An Accurate FDTD Model for Crosstalk Analysis of CMOS-Gate-Driven Coupled RLC Interconnects,Journal: IEEE Transactions on Electromagnetic Compatibility, Month: Oct, Year: 2014. Pages: 1185-1193 |
3.36-/15.24-GHz concurrent dual-band oscillator for WiMAX/WLAN applications,In IEEE MTT-S International Microwave and RF Conference, Month: Dec, Year: 2013. Pages: 1-4 |
Concurrent multi-band RF system for search and rescue of human life during natural calamities,In IEEE MTT-S International Microwave and RF Conference, Month: Dec, Year: 2013. Pages: 1-4 |
Design and Testing of a Multifrequency Antenna With a Reconfigurable Feed,Journal: IEEE Antennas and Wireless Propagation Letters, Month: , Year: 2014. Pages: 730-733 |
User Selection in MIMO Interfering Broadcast Channels,Journal: IEEE Transactions on Communications, Month: May, Year: 2014. Pages: 1568-1576 |
Design of 170 GHz, 1.5-MW Conventional Cavity Gyrotron for Plasma Heating,Journal: IEEE Transactions on Plasma Science, Month: June, Year: 2014. Pages: 1522-1528 |
Analysis of ultra wide band dielectric resonator antenna with band notch for WLAN communication,In 2014 IEEE Students' Conference on Electrical, Electronics and Computer Science, Month: March, Year: 2014. Pages: 1-3 |
Analysis of Delay and Dynamic Crosstalk in Bundled Carbon Nanotube Interconnects,Journal: IEEE Transactions on Electromagnetic Compatibility, Month: Dec, Year: 2014. Pages: 1666-1673 |
A Survey Of Architectural Approaches for Managing Embedded DRAM and Non-Volatile On-Chip Caches,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: June, Year: 2015. Pages: 1524-1537 |
Low-power and robust 6T SRAM cell using symmetric dual-k spacer FinFETs,In 2014 29th International Conference on Microelectronics Proceedings - MIEL 2014, Month: May, Year: 2014. Pages: 103-106 |
Efficient generation of macromodels via the loewner matrix approach for the stochastic analysis of high-speed passive distributed networks,In 2014 IEEE 18th Workshop on Signal and Power Integrity (SPI), Month: May, Year: 2014. Pages: 1-4 |
Analysis of crosstalk delay using mixed CNT bundle based through silicon vias,In 2014 IEEE Radio Frequency Integrated Circuits Symposium, Month: June, Year: 2014. Pages: 441-444 |
Novel 4F2 Buried-Source-Line STT MRAM Cell With Vertical GAA Transistor as Select Device,Journal: IEEE Transactions on Nanotechnology, Month: Nov, Year: 2014. Pages: 1163-1171 |
High permittivity spacer effects on junctionless FinFET based circuit/SRAM applications,In 18th International Symposium on VLSI Design and Test, Month: July, Year: 2014. Pages: 1-6 |
An empirical delta delay model for highly scaled CMOS inverter considering Well Proximity Effect,In 18th International Symposium on VLSI Design and Test, Month: July, Year: 2014. Pages: 1-2 |
A compact reconfigurable concurrent dual-band Wilkinson power divider for noninvasive vital sign detection applications,In 2014 International Conference on Signal Propagation and Computer Technology (ICSPCT 2014), Month: July, Year: 2014. Pages: 434-437 |
AYUSH: A Technique for Extending Lifetime of SRAM-NVM Hybrid Caches,Journal: IEEE Computer Architecture Letters, Month: July, Year: 2015. Pages: 115-118 |
Investigation of Symmetric Dual-k Spacer Trigate FinFETs From Delay Perspective,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2014. Pages: 3579-3585 |
Modeling of crosstalk effects in coupled MLGNR interconnects based on FDTD method,In 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Month: May, Year: 2014. Pages: 1091-1097 |
Effect of polymer liners in CNT based through silicon vias,In 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Month: May, Year: 2014. Pages: 1921-1925 |
Efficient stochastic transient analysis of high-speed passive distributed networks using Loewner Matrix based macromodels,In 2014 IEEE International Symposium on Electromagnetic Compatibility (EMC), Month: Aug, Year: 2014. Pages: 209-212 |
Enhancing Low Temperature Analog Performance of Underlap FinFET at Scaled Gate Lengths,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2014. Pages: 3619-3624 |
LastingNVCache: A Technique for Improving the Lifetime of Non-volatile Caches,In 2014 IEEE Computer Society Annual Symposium on VLSI, Month: July, Year: 2014. Pages: 534-540 |
Transmit and Receive Antenna Pairing in MIMO Relay Networks,Journal: IEEE Communications Letters, Month: Nov, Year: 2014. Pages: 2043-2046 |
Dual band, gap coupled microstrip patch antenna for wireless applications using CSSRR,In 2014 International Conference on Computation of Power, Energy, Information and Communication (ICCPEIC), Month: April, Year: 2014. Pages: 14-17 |
Design and simulation of plasmonic MIM slot waveguide based dualband bandpass filter,In Workshop on Recent Advances in Photonics (WRAP), Month: Dec, Year: 2013. Pages: 1-2 |
Graphene Based On-Chip Interconnects and TSVs : Prospects and Challenges,Journal: IEEE Nanotechnology Magazine, Month: Dec, Year: 2014. Pages: 14-20 |
Efficient ECSM Characterization Considering Voltage, Temperature, and Mechanical Stress Variability,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Month: Dec, Year: 2014. Pages: 3407-3415 |
Design of single feed dual band dual polarized microstrip antenna with defected ground structure for aeronautical and radio navigation applications,In 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS), Month: Aug, Year: 2014. Pages: 1-4 |
Design of compact circular disc circularly polarized antenna with Koch curve fractal defected ground structure,In 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS), Month: Aug, Year: 2014. Pages: 1-4 |
Critical analysis of deorientation effect on various land covers: An application of POLSAR data,In 2014 IEEE Geoscience and Remote Sensing Symposium, Month: July, Year: 2014. Pages: 2746-2749 |
A Compact Dual-Band Antenna With Omnidirectional Radiation Pattern,Journal: IEEE Antennas and Wireless Propagation Letters, Month: , Year: 2015. Pages: 503-506 |
Carbon Nanotube Based 3-D Interconnects - A Reality or a Distant Dream,Journal: IEEE Circuits and Systems Magazine, Month: Fourthquarter, Year: 2014. Pages: 16-35 |
A novel single cavity non-degenerate dual-mode dual-band resonator,In 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Month: Sep., Year: 2014. Pages: 1356-1359 |
Reconfigurable Concurrent dual-band Low Noise Amplifier for noninvasive vital sign detection applications,In 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Month: Sep., Year: 2014. Pages: 2496-2500 |
Design and characterization of a wideband p-HEMT low noise amplifier,In 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Month: Sep., Year: 2014. Pages: 785-788 |
Output System for a 170-GHz/1.5-MW Continuous Wave Gyrotron Operating in the TE28,12 Mode,Journal: IEEE Transactions on Plasma Science, Month: Jan, Year: 2015. Pages: 391-397 |
Novel Printed MIMO Antenna With Pattern and Polarization Diversity,Journal: IEEE Antennas and Wireless Propagation Letters, Month: , Year: 2015. Pages: 739-742 |
An upper bound on the performance of K-best detection for MIMO systems,In 2014 International Conference on Signal Processing and Communications (SPCOM), Month: July, Year: 2014. Pages: 1-5 |
An Approach for Finding Possible Presence of Water Ice Deposits on Lunar Craters Using MiniSAR Data,Journal: IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, Month: Jan, Year: 2015. Pages: 30-38 |
Opportunities for Nonvolatile Memory Systems in Extreme-Scale High-Performance Computing,Journal: Computing in Science Engineering, Month: Mar, Year: 2015. Pages: 73-82 |
Quantitatively Modeling Application Resilience with the Data Vulnerability Factor,In SC '14: Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, Month: Nov, Year: 2014. Pages: 695-706 |
Reconfigurable multiband concurrent RF system for non-invasive human vital sign detection,In 2014 IEEE Region 10 Humanitarian Technology Conference (R10 HTC), Month: Aug, Year: 2014. Pages: 111-116 |
EqualWrites: Reducing Intra-Set Write Variations for Enhancing Lifetime of Non-Volatile Caches,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Month: Jan, Year: 2016. Pages: 103-114 |
Tutorial T6: FinFET Device Circuit Co-design: Issues and Challenges,In 2015 28th International Conference on VLSI Design, Month: Jan, Year: 2015. Pages: 12-13 |
Investigation on structural and ferroelectric properties of spray deposited Cs1-xKxNO3: PVA : composite films,Journal: IEEE Transactions on Dielectrics and Electrical Insulation, Month: Feb, Year: 2015. Pages: 251-256 |
Possibility of existence of water-ice on lunar surface: An application of Mini-SAR data of Chandrayaan-I,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-2 |
A comparative analysis of supervised land cover classification using multi-polarized PALSAR EM image fusion,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-5 |
An approach to monitor river catchment with PAL SAR satellite data,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-5 |
An approach based on texture measures to classify the fully polarimetric SAR image,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-6 |
Wavelet based resolution enhancement for low resolution satellite images,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-5 |
Estimation of soil moisture using fully polarimetric PALSAR data,In 2014 9th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2014. Pages: 1-5 |
Effect of particle size on radar wave absorption of fractal frequency selective surface loaded multilayered structures,In 2014 IEEE International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2014. Pages: 186-189 |
Coupled stepped-impedance resonator based dual-band reconfigurable bandpass filters,In 2014 IEEE International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2014. Pages: 244-247 |
Active millimeter wave radar system for non-destructive, non-invasive underline fault detection and multilayer material analysis,In 2014 IEEE International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2014. Pages: 369-372 |
Field analysis of a novel interaction structure for high power sub-THz wave coaxial cavity gyrotrons,In 2014 IEEE International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2014. Pages: 327-329 |
Dual-Input Dual-Output RF Sensor for Indoor Human Occupancy and Position Monitoring,Journal: IEEE Sensors Journal, Month: July, Year: 2015. Pages: 3959-3966 |
Concurrent dualband patch antenna array for non-invasive human vital sign detection application,In 2014 IEEE Asia-Pacific Conference on Applied Electromagnetics (APACE), Month: Dec, Year: 2014. Pages: 150-153 |
Plasmonic metal-insulator-metal-waveguide based concurrent dual band antenna for nanoscale wireless links,In 2014 IEEE Asia-Pacific Conference on Applied Electromagnetics (APACE), Month: Dec, Year: 2014. Pages: 214-216 |
Metal-silica-metal plasmonic waveguide based concurrent dualband directional coupler,In 2014 IEEE Asia-Pacific Conference on Applied Electromagnetics (APACE), Month: Dec, Year: 2014. Pages: 217-219 |
Novel compact MIMO antenna for WLAN application,In 2013 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2013. Pages: 1-2 |
Asymmetrical plus shaped fractal slotted multilayered Yagi-Uda circularly polarized microstrip antenna with DGS,In 2013 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2013. Pages: 1-2 |
Concurrent 83GHz/94 GHz parasitically coupled defected microstrip feedline antenna for millimeter wave applications,In 2013 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2013. Pages: 1-2 |
S-transform based approach for texture analysis of medical images,In 2014 International Conference on High Performance Computing and Applications (ICHPCA), Month: Dec, Year: 2014. Pages: 1-4 |
Asymmetric Dual-Spacer Trigate FinFET Device-Circuit Codesign and Its Variability Analysis,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2015. Pages: 1105-1112 |
Time and Frequency Domain Analysis of MLGNR Interconnects,Journal: IEEE Transactions on Nanotechnology, Month: May, Year: 2015. Pages: 484-492 |
Spacer Engineering-Based High-Performance Reconfigurable FET With Low OFF Current Characteristics,Journal: IEEE Electron Device Letters, Month: May, Year: 2015. Pages: 520-522 |
Tracking behaviour of acoustic echo canceller using multiple sub-filters,In 2006 14th European Signal Processing Conference, Month: Sep., Year: 2006. Pages: 1-5 |
Efficient static D-latch standard cell characterization using a novel setup time model,In Sixteenth International Symposium on Quality Electronic Design, Month: March, Year: 2015. Pages: 371-378 |
A comparative analysis of symmetric and asymmetric dual-k spacer FinFETs from device and circuit perspectives,In Sixteenth International Symposium on Quality Electronic Design, Month: March, Year: 2015. Pages: 594-598 |
DESTINY: A tool for modeling emerging 3D NVM and eDRAM caches,In 2015 Design, Automation Test in Europe Conference Exhibition (DATE), Month: March, Year: 2015. Pages: 1543-1546 |
A Survey of Techniques for Modeling and Improving Reliability of Computing Systems,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: April, Year: 2016. Pages: 1226-1238 |
Polynomial chaos based variability analysis of power distribution networks using a 3D topology of multiconductor transmission lines,In 2014 IEEE 23rd Conference on Electrical Performance of Electronic Packaging and Systems, Month: Oct, Year: 2014. Pages: 21-24 |
Efficient multidimensional statistical modeling of high speed interconnects in SPICE via stochastic collocation using stroud cubature,In 2015 IEEE Symposium on Electromagnetic Compatibility and Signal Integrity, Month: March, Year: 2015. Pages: 350-355 |
A Survey Of Architectural Approaches for Data Compression in Cache and Main Memory Systems,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: May, Year: 2016. Pages: 1524-1536 |
Analysis of a Triangular Corrugated Coaxial Cavity for Megawatt-Class Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: July, Year: 2015. Pages: 2333-2338 |
A Survey of Software Techniques for Using Non-Volatile Memories for Storage and Main Memory Systems,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: May, Year: 2016. Pages: 1537-1550 |
All Spin Logic: A Micromagnetic Perspective,Journal: IEEE Transactions on Magnetics, Month: Oct, Year: 2015. Pages: 1-10 |
Implementation of a system for measuring velocity of primary secondary waves in rocks and soils.,In SoutheastCon 2015, Month: April, Year: 2015. Pages: 1-6 |
Sensors Augmentation Influence Over Trust and Reputation Models Realization for Dense Wireless Sensor Networks,Journal: IEEE Sensors Journal, Month: Nov, Year: 2015. Pages: 6248-6254 |
Corrections to “An Accurate FDTD Model for Crosstalk Analysis of CMOS-Gate-Driven Coupled RLC Interconnects” [Oct 14 1185-1193],Journal: IEEE Transactions on Electromagnetic Compatibility, Month: Dec, Year: 2015. Pages: 1756-1756 |
Fractal Frequency-Selective Surface Embedded Thin Broadband Microwave Absorber Coatings Using Heterogeneous Composites,Journal: IEEE Transactions on Microwave Theory and Techniques, Month: Aug, Year: 2015. Pages: 2438-2448 |
Vertical nanowire transistor-based CMOS: VTC analysis,In 2014 IEEE 2nd International Conference on Emerging Electronics (ICEE), Month: Dec, Year: 2014. Pages: 1-4 |
Design of Ferrite–Graphene-Based Thin Broadband Radar Wave Absorber for Stealth Application,Journal: IEEE Transactions on Magnetics, Month: Nov, Year: 2015. Pages: 1-4 |
Investigation of significantly enhanced electromagnetic wave absorption of hard-soft ferrite- graphene nanocomposite,In 2015 IEEE International Magnetics Conference (INTERMAG), Month: May, Year: 2015. Pages: 1-1 |
Performance analysis of single- and multi-walled carbon nanotube based through silicon vias,In 2015 IEEE 65th Electronic Components and Technology Conference (ECTC), Month: May, Year: 2015. Pages: 1834-1839 |
A Survey Of Techniques for Architecting DRAM Caches,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: June, Year: 2016. Pages: 1852-1863 |
An Adaptive Statistical Approach for Non-Destructive Underline Crack Detection of Ceramic Tiles Using Millimeter Wave Imaging Radar for Industrial Application,Journal: IEEE Sensors Journal, Month: Dec, Year: 2015. Pages: 7036-7044 |
Enhanced device performance using lightly doped channel junctionless accumulation-mode FinFET,In 2015 12th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), Month: June, Year: 2015. Pages: 1-5 |
Low power STT MRAM cell with asymmetric drive current vertical GAA select device,In 2015 12th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), Month: June, Year: 2015. Pages: 1-5 |
FPGA based implementation of real-time image enhancement algorithms for Electro-Optical surveillance systems,In 2015 12th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), Month: June, Year: 2015. Pages: 1-6 |
Pre-layout estimation of performance and design of basic analog circuits in stress enabled technologies,In 2015 19th International Symposium on VLSI Design and Test, Month: June, Year: 2015. Pages: 1-6 |
Timing model for two stage buffer and its application in ECSM characterization,In 2015 19th International Symposium on VLSI Design and Test, Month: June, Year: 2015. Pages: 1-6 |
Low-Power High-Density STT MRAMs on a 3-D Vertical Silicon Nanowire Platform,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Month: April, Year: 2016. Pages: 1371-1376 |
Electrical Tuning of Optical Delay in Graphene-Based Photonic Crystal Waveguide,Journal: IEEE Journal of Quantum Electronics, Month: Oct, Year: 2015. Pages: 1-5 |
Design and Analysis of Low Power and Area Efficient Single Capacitor DAC Based Successive Approximation ADC Using 45 Nm Fin FET,In 2015 Fifth International Conference on Communication Systems and Network Technologies, Month: April, Year: 2015. Pages: 792-796 |
A Hybrid Approach to Clustering in Big Data,Journal: IEEE Transactions on Cybernetics, Month: Oct, Year: 2016. Pages: 2372-2385 |
Crosstalk modeling with width dependent MFP in MLGNR interconnects using FDTD technique,In 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Month: June, Year: 2015. Pages: 138-141 |
Statistical variability and sensitivity analysis of dual-k spacer FinFET device-circuit co-design,In 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Month: June, Year: 2015. Pages: 190-193 |
Signal integrity improvement with peripherally placed MWCNTs in mixed CNT bundle based TSVs,In 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Month: June, Year: 2015. Pages: 649-652 |
Highly reliable STT MRAM using fully depleted body and buried 4H-SiC NMOS,In 2015 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC), Month: June, Year: 2015. Pages: 705-708 |
Multidimensional Variability Analysis of Complex Power Distribution Networks via Scalable Stochastic Collocation Approach,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Month: Nov, Year: 2015. Pages: 1656-1668 |
Use of polarimetric indices for estimating soil moisture,In 2015 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2015. Pages: 696-699 |
Pattern analysis of MiniSAR data for differentiation of icy craters in lunar surface,In 2015 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2015. Pages: 3219-3222 |
An efficient use of random forest technique for SAR data classification,In 2015 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2015. Pages: 3286-3289 |
AYUSH: Extending Lifetime of SRAM-NVM Way-Based Hybrid Caches Using Wear-Leveling,In 2015 IEEE 23rd International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, Month: Oct, Year: 2015. Pages: 112-121 |
An Unconditionally Stable FDTD Model for Crosstalk Analysis of VLSI Interconnects,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Month: Dec, Year: 2015. Pages: 1810-1817 |
Global sensitivity based dimension reduction for fast variability analysis of nonlinear circuits,In 2015 IEEE 24th Electrical Performance of Electronic Packaging and Systems (EPEPS), Month: Oct, Year: 2015. Pages: 97-100 |
Atypical Voltage Transitions in FinFET Multistage Circuits: Origin and Significance,Journal: IEEE Transactions on Electron Devices, Month: March, Year: 2016. Pages: 1392-1396 |
Computation of effective dielectric constant and electric field in the human head: A preliminary study for electromagnetic wave effect,In 2015 IEEE 10th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2015. Pages: 214-219 |
An approach to monitor land cover changes with time series MODIS data over Hot spot region,In 2015 IEEE 10th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2015. Pages: 278-283 |
Critical analysis of signal processing techniques for concealed weapon identification with MMW (60 GHz) imaging radar system,In 2015 IEEE 10th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2015. Pages: 463-468 |
Development of thin dual-layer alumina-iron composite coatings for enhanced microwave absorption,In 2015 IEEE 10th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2015. Pages: 540-545 |
Compact model for vertical silicon nanowire based device simulation and circuit design,In 2015 International SoC Design Conference (ISOCC), Month: Nov, Year: 2015. Pages: 107-108 |
Sparse Linear Regression (SPLINER) Approach for Efficient Multidimensional Uncertainty Quantification of High-Speed Circuits,Journal: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Month: Oct, Year: 2016. Pages: 1640-1652 |
Coupled stepped-impedance resonator (CSIR) based concurrent dual band filtering LNA for wireless applications,In 2015 IEEE MTT-S International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2015. Pages: 262-265 |
Critical analysis of fractal FSS with heterogeneous composite to enhance microwave absorption for stealth application,In 2015 IEEE MTT-S International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2015. Pages: 416-418 |
Adaptive coding and modulation (ACM) technique for performance enhancement of FSO Link,In 2016 IEEE First International Conference on Control, Measurement and Instrumentation (CMI), Month: Jan, Year: 2016. Pages: 53-57 |
Fast multidimensional statistical analysis of microwave networks via stroud cubature approach,In 2015 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO), Month: Aug, Year: 2015. Pages: 1-3 |
Accurate polynomial chaos expansion for variability analysis using optimal design of experiments,In 2015 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization (NEMO), Month: Aug, Year: 2015. Pages: 1-4 |
Reduced Neighborhood Search Algorithms for Low Complexity Detection in MIMO Systems,In 2015 IEEE Global Communications Conference (GLOBECOM), Month: Dec, Year: 2015. Pages: 1-6 |
Optimization of Design Parameters in Dual- Spacer-Based Nanoscale Reconfigurable FET for Improved Performance,Journal: IEEE Transactions on Electron Devices, Month: March, Year: 2016. Pages: 1375-1382 |
Accurate Numerical Model for Crosstalk Analysis of SWCNT Bundle Interconnects Using FDTD Method,In 2015 IEEE International Symposium on Nanoelectronic and Information Systems, Month: Dec, Year: 2015. Pages: 158-163 |
FinFET Device Circuit Co-design Issues: Impact of Circuit Parameters on Delay,In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Month: Jan, Year: 2016. Pages: 288-293 |
Metal Carbon Nanotube Schottky Barrier Diode with Detection of Polar Non-polar Gases,In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Month: Jan, Year: 2016. Pages: 361-366 |
Improving the reliability of receivers for 5G networks,In 2016 8th International Conference on Communication Systems and Networks (COMSNETS), Month: Jan, Year: 2016. Pages: 1-2 |
Impact of Dielectric Resistive Heater, Bottom Contact and Reading Scheme on the Reliability of Nanoscale Low Power Phase Change Memory (PCM) Cell: 3-D-ADI Modeling,Journal: IEEE Transactions on Device and Materials Reliability, Month: June, Year: 2016. Pages: 149-157 |
A Survey of Techniques for Architecting and Managing GPU Register File,Journal: IEEE Transactions on Parallel and Distributed Systems, Month: Jan, Year: 2017. Pages: 16-28 |
Extended RF Behavior of a 77/154 GHz, 0.5 MW Continuous Wave Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2016. Pages: 2538-2543 |
Multifinger MOSFETs’ Optimization Considering Stress and INWE in Static CMOS Circuits,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2016. Pages: 2517-2523 |
Design of a compact MIMO antenna with polarization diversity technique for wireless communication,In 2015 International Conference on Microwave, Optical and Communication Engineering (ICMOCE), Month: Dec, Year: 2015. Pages: 21-24 |
Capacity estimation of a comapct pattern diversity MIMO antenna,In 2015 International Conference on Microwave, Optical and Communication Engineering (ICMOCE), Month: Dec, Year: 2015. Pages: 25-28 |
Realization of circular polarized microstrip antenna with Arc-slot fractal geometry,In 2015 International Conference on Microwave, Optical and Communication Engineering (ICMOCE), Month: Dec, Year: 2015. Pages: 158-161 |
Mode selection and interaction structure design of a megawatt class, sub-THz wave coaxial cavity gyrotron,In 2015 International Conference on Microwave, Optical and Communication Engineering (ICMOCE), Month: Dec, Year: 2015. Pages: 307-309 |
Image Overlay Text Detection Based on JPEG Truncation Error Analysis,Journal: IEEE Signal Processing Letters, Month: Aug, Year: 2016. Pages: 1027-1031 |
Performance Enhancement of STT MRAM Using Asymmetric- k Sidewall-Spacer NMOS,Journal: IEEE Transactions on Electron Devices, Month: July, Year: 2016. Pages: 2771-2776 |
Reduction of GIDL Using Dual Work-Function Metal Gate in DRAM,In 2016 IEEE 8th International Memory Workshop (IMW), Month: May, Year: 2016. Pages: 1-4 |
Generalized anisotropic polynomial chaos approach for expedited statistical analysis of nonlinear radio-frequency (RF) circuits,In 2016 IEEE 20th Workshop on Signal and Power Integrity (SPI), Month: May, Year: 2016. Pages: 1-3 |
Hyperbolic polynomial chaos expansion (HPCE) and its application to statistical analysis of nonlinear circuits,In 2016 IEEE 20th Workshop on Signal and Power Integrity (SPI), Month: May, Year: 2016. Pages: 1-4 |
Iterative matrix inversion based low complexity detection in large/massive MIMO systems,In 2016 IEEE International Conference on Communications Workshops (ICC), Month: May, Year: 2016. Pages: 712-717 |
Graphene backed Graphene plasmonic coplanar waveguide (GB- GPCPW) for terahertz integrated circuit applications,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2015. Pages: 1-2 |
Tolerance on element failure for DoA estimation: A soft-computing approach,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2015. Pages: 1-2 |
Analysis of band-notch techniques in UWB antenna for impulse radio communications,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2015. Pages: 1-2 |
Message from the convener,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: v-vi |
Development of FSS printed on multi-layered iron-zinc oxide composite coatings for microwave absorption,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 192-195 |
Unipolar organic ring oscillator using dual gate organic thin film transistor,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 196-201 |
Critical analysis of Frequency Selective Surfaces for dual band GSM-900 1800 MHz transmission,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 207-210 |
Through-the wall imaging (TWI) radar for detection, classification and identification of targets based on RCS,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 216-221 |
Sputtered silicon dioxide layers forMEMS applications,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 222-227 |
Study of metal-CNT contact for gas sensing applications,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 228-233 |
Fusion of color histograms using PCA for SAR data classification,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 244-247 |
An approach to use polarimetric signature for land cover classification,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 248-253 |
Effect of magneto-dielectric nanocomposite on the SAR value of phantom model,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 254-257 |
Non-invasive concealed weapon detection and identification using V band millimeter wave imaging radar system,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 258-262 |
A comparison of wavelet based techniques for resolution enhancement of Moderate Resolution satellite images,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 263-266 |
An approach to detect hotspots with INSAT-3D data,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 267-270 |
Application to Use SARAL altimetry for water level monitoring over Ramganga reserviour and its correlation with MODIS data,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 271-275 |
Soil moisture retrieval of vegetated land cover using RADARSAT-2 data,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 276-281 |
Coupled stepped-impedance resonator based dual-band adaptable bandpass filter with tunable lower band central frequency and bandwidth,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 282-285 |
A compact half-wave folded waveguide resonator for dual-band applications,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 286-289 |
Decision tree approach to classify the fully polarimetric RADARSAT-2 data,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 318-323 |
A study of PDF based approach to classify full polarimetric radar data,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 324-327 |
Analysis of video analytic architectures,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 70-74 |
Parametric analysis of frequency selective surfaces over radar absorbing nanocrystalline structures,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 75-79 |
An alternative approach for wall parameters estimation in through wall imaging system,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 85-91 |
Study of background subtraction for ground penetrating radar,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 101-105 |
Fractal frequency selective surface embedded broadband microwave absorber using disassembled waste printed circuit boards,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 116-119 |
Electromagnetic wave absorption properties of conducting polymer-spinel ferrite composites,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 134-137 |
Realization of circularly polarized microstrip antenna using fractal,In 2015 National Conference on Recent Advances in Electronics Computer Engineering (RAECE), Month: Feb, Year: 2015. Pages: 138-142 |
Beyond fixed neighborhood search in the likelihood ascent algorithm for MIMO systems,In 2016 IEEE International Conference on Communications (ICC), Month: May, Year: 2016. Pages: 1-6 |
Multidimensional Uncertainty Quantification of Microwave/RF Networks Using Linear Regression and Optimal Design of Experiments,Journal: IEEE Transactions on Microwave Theory and Techniques, Month: Aug, Year: 2016. Pages: 2433-2446 |
Understanding Urban Mobility via Taxi Trip Clustering,In 2016 17th IEEE International Conference on Mobile Data Management (MDM), Month: June, Year: 2016. Pages: 318-324 |
An efficient methodology to characterize the TSPC flip flop setup time for static timing analysis,In 2016 13th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD), Month: June, Year: 2016. Pages: 1-4 |
A New Family of Time-Limited Nyquist Pulses for OFDM Systems,Journal: IEEE Communications Letters, Month: Oct, Year: 2016. Pages: 1943-1946 |
Analysis of Plasma-Loaded Noncorrugated and Triangular Corrugated Coaxial Cavity,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2016. Pages: 4060-4066 |
ANN-Based Two-Layer Absorber Design Using Fe–Al Hybrid Nano-Composites for Broad Bandwidth Microwave Absorption,Journal: IEEE Transactions on Magnetics, Month: Dec, Year: 2016. Pages: 1-8 |
Reduced dimensional polynomial chaos approach for efficient uncertainty analysis of multi-walled carbon nanotube interconnects,In 2016 IEEE MTT-S International Microwave Symposium (IMS), Month: May, Year: 2016. Pages: 1-3 |
Reducing soft-error vulnerability of caches using data compression,In 2016 International Great Lakes Symposium on VLSI (GLSVLSI), Month: May, Year: 2016. Pages: 197-202 |
An Approach to Adaptive Swarm Surveillance Using Social Potential Fields,In 2016 IEEE 6th International Conference on Advanced Computing (IACC), Month: Feb, Year: 2016. Pages: 191-196 |
A megawatt-class 220 GHz conventional cavity gyrotron,In 2016 IEEE International Vacuum Electronics Conference (IVEC), Month: April, Year: 2016. Pages: 1-2 |
Low complexity opportunistic interference alignment in K-transmitter MIMO interference channels,In 2016 IEEE Wireless Communications and Networking Conference, Month: April, Year: 2016. Pages: 1-7 |
I/O System for A 77/154-GHz, 0.5-MW Dual Regime Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: Nov, Year: 2016. Pages: 4459-4465 |
BER analysis of optical space shift keying in atmospheric turbulence environment,In 2016 10th International Symposium on Communication Systems, Networks and Digital Signal Processing (CSNDSP), Month: July, Year: 2016. Pages: 1-6 |
A review of Analytical thermal noise model,In 2016 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2016. Pages: 19-23 |
Parasitic capacitances of Dual-K spacer FinFET,In 2016 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2016. Pages: 34-36 |
A detailed capacitive analysis of symmetric and asymmetric dual-k FinFETs for improved circuit delay metrics,In 2016 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2016. Pages: 13-18 |
Spintronics-Based Devices to Circuits: Perspectives and challenges.,Journal: IEEE Nanotechnology Magazine, Month: Dec, Year: 2016. Pages: 13-28 |
Stopping Rule-Based Iterative Tree Search for Low-Complexity Detection in MIMO Systems,Journal: IEEE Transactions on Wireless Communications, Month: Jan, Year: 2017. Pages: 169-179 |
A framework of lasers for optical interconnects,In 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom), Month: March, Year: 2016. Pages: 407-411 |
Class wise optimal feature selection for land cover classification using SAR data,In 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2016. Pages: 68-71 |
Optimization of image processing techniques to detect and reconstruct the image of concealed blade for MMW imaging system,In 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2016. Pages: 76-79 |
Development of electromagnetic approach for early breast tumor detection,In 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2016. Pages: 724-727 |
A novel approach for optimal weight factor of DT-CWT coefficients for land cover classification using MODIS data,In 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2016. Pages: 4528-4531 |
Non-metallic pipe detection using SF-GPR: A new approach using neural network,In 2016 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2016. Pages: 6609-6612 |
An ANN approach for false alarm detection in microwave breast cancer detection,In 2016 IEEE Congress on Evolutionary Computation (CEC), Month: July, Year: 2016. Pages: 1370-1374 |
An adaptive approach to swarm surveillance using particle swarm optimization,In 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), Month: March, Year: 2016. Pages: 3780-3783 |
BER analysis of optical space shift keying with gamma-gamma fading and pointing error,In 2016 International Conference on Advanced Technologies for Communications (ATC), Month: Oct, Year: 2016. Pages: 369-374 |
Quasi-Orthogonal Combining for Reducing RF Chains in Massive MIMO Systems,Journal: IEEE Wireless Communications Letters, Month: Feb, Year: 2017. Pages: 126-129 |
A Novel DSAT Extraction Method for Tunnel FETs and Its Implication on Analog Design,Journal: IEEE Transactions on Electron Devices, Month: Feb, Year: 2017. Pages: 629-633 |
Addressing Read-Disturbance Issue in STT-RAM by Data Compression and Selective Duplication,Journal: IEEE Computer Architecture Letters, Month: July, Year: 2017. Pages: 94-98 |
An MMP-Based Approach for Detection in Large MIMO Systems Using Sphere Decoding,Journal: IEEE Wireless Communications Letters, Year: 2017. Pages: 158-161 |
Lateral silicon nanowire based standard cell design for higher performance,In 2016 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Month: Oct, Year: 2016. Pages: 135-138 |
Successive Conformal Mapping Technique to Extract Inner Fringe Capacitance of Underlap DG-FinFET and Its Variations With Geometrical Parameters,Journal: IEEE Transactions on Electron Devices, Month: Feb, Year: 2017. Pages: 384-391 |
Surface Potential and Drain Current Analytical Model of Gate All Around Triple Metal TFET,Journal: IEEE Transactions on Electron Devices, Month: Feb, Year: 2017. Pages: 606-613 |
Anisotropic formulation of hyperbolic polynomial chaos expansion for high-dimensional variability analysis of nonlinear circuits,In 2016 IEEE 25th Conference on Electrical Performance Of Electronic Packaging And Systems (EPEPS), Month: Oct, Year: 2016. Pages: 123-126 |
Concurrent dual-frequency oscillator using a dual-band filter,In 2016 IEEE Region 10 Conference (TENCON), Month: Nov, Year: 2016. Pages: 1777-1780 |
A Common Transceiver Design for Nonregenerative Asymmetric and Symmetric Two-Way Relaying With Relaxed Antenna Constraints,Journal: IEEE Transactions on Vehicular Technology, Month: Aug, Year: 2017. Pages: 7026-7037 |
Full Wave Analysis of Coaxial Gyrotron Cavity With Triangular Corrugations on the Insert,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2017. Pages: 1756-1762 |
A 220/247.5/275-GHz, 1.0-MW, Triple Frequency Regime Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2017. Pages: 1774-1780 |
Full Wave Analysis of Plasma Loaded Coaxial Gyrotron Cavity With Triangular Corrugations on the Insert,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2017. Pages: 2369-2375 |
An Unconstrained Likelihood Ascent Based Detection Algorithm for Large MIMO Systems,Journal: IEEE Transactions on Wireless Communications, Month: April, Year: 2017. Pages: 2262-2273 |
Performance of Optical Space Shift Keying Over Gamma–Gamma Fading With Pointing Error,Journal: IEEE Photonics Journal, Month: April, Year: 2017. Pages: 1-16 |
Explicating MiniSAR data to underline significant properties of lunar surface,In 2016 International Conference on Emerging Trends in Communication Technologies (ETCT), Month: Nov, Year: 2016. Pages: 1-5 |
Design and Analysis of Soft-Error Resilience Mechanisms for GPU Register File,In 2017 30th International Conference on VLSI Design and 2017 16th International Conference on Embedded Systems (VLSID), Month: Jan, Year: 2017. Pages: 409-414 |
A Holistic Approach to Transforming Undergraduate Electrical Engineering Education,Journal: IEEE Access, Month: , Year: 2017. Pages: 8148-8161 |
Accurate Reduced Dimensional Polynomial Chaos for Efficient Uncertainty Quantification of Microwave/RF Networks,Journal: IEEE Transactions on Microwave Theory and Techniques, Month: Oct, Year: 2017. Pages: 3697-3708 |
Compact NBTI Reliability Modeling in Si Nanowire MOSFETs and Effect in Circuits,Journal: IEEE Transactions on Device and Materials Reliability, Month: June, Year: 2017. Pages: 404-413 |
Development of Analytical Approach to Fabricate Composites for Microwave Absorption,Journal: IEEE Transactions on Magnetics, Month: Aug, Year: 2017. Pages: 1-10 |
Improved Rate-Energy Tradeoff for Energy Harvesting Interference Alignment Networks,Journal: IEEE Wireless Communications Letters, Month: June, Year: 2017. Pages: 410-413 |
Likelihood-Based Tree Search for Low Complexity Detection in Large MIMO Systems,Journal: IEEE Wireless Communications Letters, Month: Aug, Year: 2017. Pages: 450-453 |
Non-invasive multilayer dielectric material thickness measurement using V band millimeter wave radar,In 2016 Asia-Pacific Microwave Conference (APMC), Month: Dec, Year: 2016. Pages: 1-4 |
Spoof surface plasmon polaritons (SSPP) based multi-band bandpass filter,In 2016 Asia-Pacific Microwave Conference (APMC), Month: Dec, Year: 2016. Pages: 1-4 |
Modulated metasurface for circular polarization,In 2016 Asia-Pacific Microwave Conference (APMC), Month: Dec, Year: 2016. Pages: 1-4 |
Time-domain performance of band-notch techniques in UWB antenna,In 2016 Asia-Pacific Microwave Conference (APMC), Month: Dec, Year: 2016. Pages: 1-3 |
Modeling and Analysis of Vertical p-n Junction, Graded Bandgap AlfGa1–fAs Rectangular-Slab and Cylindrical Solar Cells on Elevated GaAs Ridge and Wire,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2017. Pages: 2564-2571 |
Critical Analysis of Model-Based Incoherent Polarimetric Decomposition Methods and Investigation of Deorientation Effect,Journal: IEEE Transactions on Geoscience and Remote Sensing, Month: Sep., Year: 2017. Pages: 4868-4877 |
Analytical Modeling of DG-MOSFET in Subthreshold Regime by Green’s Function Approach,Journal: IEEE Transactions on Electron Devices, Month: Aug, Year: 2017. Pages: 3056-3062 |
Impact of Gate/Spacer-Channel Underlap, Gate Oxide EOT, and Scaling on the Device Characteristics of a DG-RFET,Journal: IEEE Transactions on Electron Devices, Month: Aug, Year: 2017. Pages: 3063-3070 |
T-Shaped III-V Heterojunction Tunneling Field-Effect Transistor,Journal: IEEE Transactions on Electron Devices, Month: Aug, Year: 2017. Pages: 3120-3125 |
Analyzing impact of epistemic uncertainty in high-speed circuit simulation using fuzzy variables and global polynomial chaos surrogates,In 2017 IEEE MTT-S International Conference on Numerical Electromagnetic and Multiphysics Modeling and Optimization for RF, Microwave, and Terahertz Applications (NEMO), Month: May, Year: 2017. Pages: 320-322 |
Architecting SOT-RAM Based GPU Register File,In 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Month: July, Year: 2017. Pages: 38-44 |
On the ergodic capacity of optical space shift keying based FSO-MIMO system under atmospheric turbulence,In 2017 IEEE International Conference on Communications (ICC), Month: May, Year: 2017. Pages: 1-7 |
Performance and Variability Analysis of SiNW 6T-SRAM Cell Using Compact Model With Parasitics,Journal: IEEE Transactions on Nanotechnology, Month: Nov, Year: 2017. Pages: 965-973 |
SwapX: An NVM-Based Hierarchical Swapping Framework,Journal: IEEE Access, Month: , Year: 2017. Pages: 16383-16392 |
RF Behavior of a 220/251.5-GHz, 2-MW, Triangular Corrugated Coaxial Cavity Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2017. Pages: 4287-4294 |
A First Insight to the Thermal Dependence of the DC, Analog and RF Performance of an S/D Spacer Engineered DG-Ambipolar FET,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2017. Pages: 4327-4334 |
Sequential and Global Likelihood Ascent Search-Based Detection in Large MIMO Systems,Journal: IEEE Transactions on Communications, Month: Feb, Year: 2018. Pages: 713-725 |
Bit-Level Reduced Neighborhood Search for Low-Complexity Detection in Large MIMO Systems,Journal: IEEE Wireless Communications Letters, Month: April, Year: 2018. Pages: 146-149 |
A unified Verilog-A compact model for lateral Si nanowire (NW) FET incorporating parasitics for circuit simulation,In 2016 20th International Symposium on VLSI Design and Test (VDAT), Month: May, Year: 2016. Pages: 1-6 |
Development of an Adaptive Approach for Precision Agriculture Monitoring with Drone and Satellite Data,Journal: IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, Month: Dec, Year: 2017. Pages: 5322-5328 |
Dual band reconfigurable plasmonic antenna using bilayer graphene,In 2017 IEEE International Symposium on Antennas and Propagation USNC/URSI National Radio Science Meeting, Month: July, Year: 2017. Pages: 921-922 |
Analytical threshold voltage model of gate all around triple metal tunnel FET,In 2017 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2017. Pages: 146-149 |
Recent trend of FinFET devices and its challenges: A review,In 2017 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2017. Pages: 150-154 |
Improvement in analog performance in a 16-nm FinFET technology using a systematic study of saturation phenomenon,In 2016 3rd International Conference on Emerging Electronics (ICEE), Month: Dec, Year: 2016. Pages: 1-4 |
A novel dimension fusion based polynomial chaos approach for mixed aleatory-epistemic uncertainty quantification of carbon nanotube interconnects,In 2017 IEEE International Symposium on Electromagnetic Compatibility Signal/Power Integrity (EMCSI), Month: Aug, Year: 2017. Pages: 108-111 |
An Approach to Classify Tall Vegetation and Urban Using Deoriented PALSAR Image,Journal: IEEE Geoscience and Remote Sensing Letters, Month: Dec, Year: 2017. Pages: 2185-2189 |
Demonstration of a Novel Two Source Region Tunnel FET,Journal: IEEE Transactions on Electron Devices, Month: Dec, Year: 2017. Pages: 5256-5262 |
Electron Gun and Output Coupling System for a 220-/251.5-GHz, 2-MW Triangular Corrugated Coaxial Cavity Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: Dec, Year: 2017. Pages: 5134-5140 |
Compact Analytical Model to Extract Write Static Noise Margin (WSNM) for SRAM Cell at 45-nm and 65-nm Nodes,Journal: IEEE Transactions on Semiconductor Manufacturing, Month: Feb, Year: 2018. Pages: 136-143 |
Drain Current Saturation in Line Tunneling-Based TFETs: An Analog Design Perspective,Journal: IEEE Transactions on Electron Devices, Month: Jan, Year: 2018. Pages: 322-330 |
A deep learning architecture for brain tumor segmentation in MRI images,In 2017 IEEE 19th International Workshop on Multimedia Signal Processing (MMSP), Month: Oct, Year: 2017. Pages: 1-6 |
An object linked intelligent classification method for hyperspectral images,In 2017 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2017. Pages: 3345-3348 |
Optimal use of polarimetric signature on PALSAR-2 data for land cover classification,In 2017 IEEE International Geoscience and Remote Sensing Symposium (IGARSS), Month: July, Year: 2017. Pages: 4558-4561 |
SOI based double source tunnel FET (DS-TFET) with high on-current and reduced turn-on voltage,In 2017 IEEE 30th International Conference on Microelectronics (MIEL), Month: Oct, Year: 2017. Pages: 87-90 |
Efficient plant leaf representations: A comparative study,In TENCON 2017 - 2017 IEEE Region 10 Conference, Month: Nov, Year: 2017. Pages: 1175-1180 |
Impact of device design parameters on VDSAT and analog performance of TFETs,In 2017 Silicon Nanoelectronics Workshop (SNW), Month: June, Year: 2017. Pages: 51-52 |
A Compact Physics-Based Surface Potential and Drain Current Model for an S/D Spacer-Based DG-RFET,Journal: IEEE Transactions on Electron Devices, Month: Feb, Year: 2018. Pages: 448-455 |
Microwave heat treated ferrite based X-band microwave absorber with wide bandwidth for low observable applications,In 2017 IEEE Asia Pacific Microwave Conference (APMC), Month: Nov, Year: 2017. Pages: 387-390 |
Design of modulated artificial magnetic conductor metasurfaces for RCS reduction of patch antenna,In 2017 IEEE Asia Pacific Microwave Conference (APMC), Month: Nov, Year: 2017. Pages: 1349-1352 |
A compact ridge cavity resonator for concurrent dual-band applications,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 37-42 |
Hybrid mode transmission line and band pass filter implementation using plasmonics metamaterial at microwave frequency,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 207-210 |
Concurrent dual-band tunable graphene based band-pass filter,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 218-223 |
Development of centre frequency tunable coupled line bandpass filter with constant absolute bandwidth using mixed electric and magnetic coupling,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 498-502 |
Development and design of multi-band bandpass filter based on the concept of spoof surface plasmon polaritons,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 529-533 |
Design studies on microstrip filter with peano fractal defected ground structure,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 576-581 |
Modeling of microstrip filter with defected ground structures using ANN,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 582-588 |
CAD based design of a wide band phase shifter for Ku band applications,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 618-621 |
Analysis of three dimensional frequency selective surfaces for multiband operation,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 770-773 |
An efficient use of IoT for satellite data in land cover monitoring to estimate LST and ET,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 905-909 |
Fusion of drone and satellite data for precision agriculture monitoring,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 910-914 |
A critical analysis of polarimetrie signatures on PALSAR 2 data for land cover classification,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2016. Pages: 920-924 |
Crosstalk-centric designing using graphene based multi-line bus architecture,In 2017 Progress in Electromagnetics Research Symposium - Fall (PIERS - FALL), Month: Nov, Year: 2017. Pages: 2009-2012 |
Output System of A 220-/247.5-/275-GHz, 1.0-MW, Triple-Frequency Regime Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2018. Pages: 1558-1563 |
Novel Broadband Antennas for Future Mobile Communications,Journal: IEEE Transactions on Antennas and Propagation, Month: May, Year: 2018. Pages: 2299-2308 |
Development of an Efficient Contextual Algorithm for Discrimination of Tall Vegetation and Urban for PALSAR Data,Journal: IEEE Transactions on Geoscience and Remote Sensing, Month: June, Year: 2018. Pages: 3413-3420 |
Wireless Monitoring of Volatile Organic Compounds/Water Vapor/Gas Pressure/Temperature Using RF Transceiver,Journal: IEEE Transactions on Instrumentation and Measurement, Month: Sep., Year: 2018. Pages: 2223-2234 |
An Investigation of Performance and Diversity Property of Optical Space Shift Keying-Based FSO-MIMO System,Journal: IEEE Transactions on Communications, Month: Sep., Year: 2018. Pages: 4028-4042 |
Reduction of radar cross section of patch antenna using modulated metasurface,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2017. Pages: 1-2 |
RCS reduction using aperiodic modulated artificial magnetic conductor,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2017. Pages: 1-2 |
SlW-based patch antenna with improved performance,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2017. Pages: 1-2 |
Design of an SIW corrugated H-plane horn antenna with improved performance,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2017. Pages: 1-2 |
Indoor radio propagation channel modelling for active convertor based wireless system,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Month: Dec, Year: 2017. Pages: 1-2 |
Mixed epistemic-aleatory uncertainty quantification using reduced dimensional polynomial chaos and parametric ANOVA,In 2017 IEEE 26th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS), Month: Oct, Year: 2017. Pages: 1-3 |
Eigenvalue analysis of a triangular corrugated coaxial cavity with misaligned inner rod,In 2018 11th German Microwave Conference (GeMiC), Month: March, Year: 2018. Pages: 195-198 |
RF behavior of a 220/251.5 GHz, 2MW, triangular corrugated coaxial cavity gyrotron extended to the third operating frequency 283 GHz,In 2018 11th German Microwave Conference (GeMiC), Month: March, Year: 2018. Pages: 295-298 |
Performance Enhancement by Optimization of Poly Grain Size and Channel Thickness in a Vertical Channel 3-D NAND Flash Memory,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2018. Pages: 1781-1786 |
Modeling of a Vertical Hybrid Plasmonic Switch With VO2 Fin Bragg Grating,Journal: IEEE Photonics Technology Letters, Month: June, Year: 2018. Pages: 997-1000 |
Analysis of Plasma Loaded Conventional and Coaxial Cavity With Wedge-Shaped Corrugations on the Insert,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2018. Pages: 2614-2619 |
Fabrication of cantilever MEMs structure of C-axis grown AlN film for energy harvester application,In 2018 IEEE International Conference on Industrial Technology (ICIT), Month: Feb, Year: 2018. Pages: 984-988 |
Design study of a quasi-optical launcher for 2 MW, 170 GHz coaxial cavity gyrotron,In 2018 3rd International Conference on Microwave and Photonics (ICMAP), Month: Feb, Year: 2018. Pages: 1-2 |
Bayesian maximum entropy and interacting multiple model based automatic sensor drift detection and correction in an IoT environment,In 2018 IEEE 4th World Forum on Internet of Things (WF-IoT), Month: Feb, Year: 2018. Pages: 598-603 |
Experimental Demonstration of Novel Hybrid Microwave Absorbing Coatings Using Particle-Size-Controlled Hard–Soft Ferrite,Journal: IEEE Transactions on Magnetics, Month: Nov, Year: 2018. Pages: 1-5 |
Multi-fidelity approach for polynomial chaos based statistical analysis of microwave networks,In 2018 International Applied Computational Electromagnetics Society Symposium (ACES), Month: March, Year: 2018. Pages: 1-2 |
A Rapid Hybrid Clustering Algorithm for Large Volumes of High Dimensional Data,Journal: IEEE Transactions on Knowledge and Data Engineering, Month: April, Year: 2019. Pages: 641-654 |
Modeling the effect of variability on the timing response of CMOS inverter-transmission gate structure,In 2018 International Symposium on Devices, Circuits and Systems (ISDCS), Month: March, Year: 2018. Pages: 1-5 |
Design studies of a RF interaction cavity for a 4 MW, 170 GHz triangular corrugated coaxial cavity gyrotron,In 2018 IEEE International Vacuum Electronics Conference (IVEC), Month: April, Year: 2018. Pages: 35-36 |
Design studies of a magnetron injection gun for a 2MW, multi-frequency (220/251.5/283 GHz) triangular corrugated coaxial cavity gyrotron,In 2018 IEEE International Vacuum Electronics Conference (IVEC), Month: April, Year: 2018. Pages: 307-308 |
Design of a W-band, 100 kW, frequency doubling gyroklystron amplifier,In 2018 IEEE International Vacuum Electronics Conference (IVEC), Month: April, Year: 2018. Pages: 289-290 |
Energy distribution of electrons from cathode in magnetron injection gun,In 2018 IEEE International Vacuum Electronics Conference (IVEC), Month: April, Year: 2018. Pages: 357-358 |
Investigations on some wideband reflectarray elements for Ku band,In 2017 IEEE International Conference on Antenna Innovations Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Month: Nov, Year: 2017. Pages: 1-5 |
Design, analysis and characterization of active HBT down conversion RF mixer for WLAN applications,In 2017 IEEE International Conference on Antenna Innovations Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Month: Nov, Year: 2017. Pages: 1-6 |
Design, analysis and characterization of tunable dual band planar antenna for WLAN applications,In 2017 IEEE International Conference on Antenna Innovations Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Month: Nov, Year: 2017. Pages: 1-6 |
Design of multiple band-notch ring resonator filter based on plasmonic metamaterial at microwave frequency,In 2017 IEEE International Conference on Antenna Innovations Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Month: Nov, Year: 2017. Pages: 1-5 |
Free-Space Optical Communication: A Diversity-Multiplexing Tradeoff Perspective,Journal: IEEE Transactions on Information Theory, Month: Feb, Year: 2019. Pages: 1113-1125 |
Joint Power Allocation for OFDM-Based Non-Concurrent Two-Way AF Relaying,Journal: IEEE Communications Letters, Month: Oct, Year: 2018. Pages: 2100-2103 |
New Block-Based Spatial Modulation,Journal: IEEE Communications Letters, Month: Oct, Year: 2018. Pages: 2016-2019 |
Improvement of Row Hammering Using Metal Nanoparticles in DRAM—A Simulation Study,Journal: IEEE Electron Device Letters, Month: Sep., Year: 2018. Pages: 1286-1289 |
Fast and Scalable Big Data Trajectory Clustering for Understanding Urban Mobility,Journal: IEEE Transactions on Intelligent Transportation Systems, Month: Nov, Year: 2018. Pages: 3709-3722 |
Analytical Modeling of Gate-Stack DG-MOSFET in Subthreshold Regime by Green’s Function Approach,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2018. Pages: 4724-4728 |
Reconfigurable Spoof Surface Plasmon Polaritons Based Band Pass Filter,In 2018 IEEE/MTT-S International Microwave Symposium - IMS, Month: June, Year: 2018. Pages: 224-227 |
A Novel Transition Device and Multiple Band-Pass Filter Using Ring Resonator Based on Spoof Surface Plasmon Polaritons at Microwave Frequency,In 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2017. Pages: 1-4 |
Compact Dual Band Varactor-Tunable Unequal-Length Branch-Line Hybrid,In 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Month: Dec, Year: 2017. Pages: 1-5 |
Optimal Boolean Logic Quantum Circuit Decomposition for Spin-Torque-Based \boldsymboln -Qubit Architecture,Journal: IEEE Transactions on Magnetics, Month: Oct, Year: 2018. Pages: 1-9 |
An efficient RF tracking technique with spread spectrum signal at sensitivity level,In 2017 14th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2017. Pages: 1-6 |
Improvement of Energy Concentration in S-transform using Maximally Concentrated Window,In 2017 14th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2017. Pages: 1-6 |
Techniques of Reconfigurable Bandpass Filter For Realization of Adaptable RF System and Proposed Methodology,In 2017 14th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2017. Pages: 1-5 |
Design of a Magnetron Injection Gun for a 4 MW, 170 GHz, Coaxial Cavity Gyrotron,In 2017 14th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2017. Pages: 1-4 |
Development of Semi supervised Classifier for SAR Image Pattern Recognition,In 2017 14th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2017. Pages: 1-4 |
Transient Analysis of Crosstalk Induced Effects in Mixed CNT Bundle Interconnects Using FDTD Technique,Journal: IEEE Transactions on Electromagnetic Compatibility, Month: Oct, Year: 2019. Pages: 1621-1629 |
Modeling of a Magnetic Tunnel Junction for a Multilevel STT-MRAM Cell,Journal: IEEE Transactions on Nanotechnology, Month: , Year: 2019. Pages: 1005-1014 |
Equivalent Circuit Model for the Design of Frequency-Selective, Terahertz-Band, Graphene-Based Metamaterial Absorbers,Journal: IEEE Magnetics Letters, Month: , Year: 2018. Pages: 1-5 |
Development of Fusion Approach for Estimation of Vegetation Fraction Cover with Drone and Sentinel-2 Data,In IGARSS 2018 - 2018 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2018. Pages: 7448-7451 |
Detection of Possible Water-Ice Deposits on Lunar Surface Using Conformity Coefficient: An Application of MiniSAR Data,In IGARSS 2018 - 2018 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2018. Pages: 4567-4570 |
Development of an Approach for Monitoring Sugarcane Harvested and Non-Harvested Conditions Using Time Series Sentinel-1 Data,In IGARSS 2018 - 2018 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2018. Pages: 5308-5311 |
Efficient Discrete S-Transform Based on Optimally Concentrated Window,Journal: IEEE Signal Processing Letters, Month: Jan, Year: 2019. Pages: 14-18 |
Design Studies of a 3-MW, Multifrequency (170/204/236 GHz) DEMO Class Triangular Corrugated Coaxial Cavity Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: Jan, Year: 2019. Pages: 702-708 |
Reduced Dimensional Chebyshev-Polynomial Chaos Approach for Fast Mixed Epistemic-Aleatory Uncertainty Quantification of Transmission Line Networks,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Month: June, Year: 2019. Pages: 1119-1132 |
Area and Energy Efficient Series Multilevel Cell STT-MRAMs for Optimized Read–Write Operations,Journal: IEEE Transactions on Magnetics, Month: Jan, Year: 2019. Pages: 1-10 |
Modified CMOS Peak Detector and Sample Hold Circuit for Biomedical Applications,In 2018 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2018. Pages: 113-116 |
FinFETs for RF Applications: A Literature review,In 2018 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2018. Pages: 280-287 |
Reduced Gate Capacitance of Dual Metal Double Gate over Single Metal Double Gate Tunnel FET: A Comparative Study,In 2018 Conference on Emerging Devices and Smart Systems (ICEDSS), Month: March, Year: 2018. Pages: 110-112 |
Approximate Cluster Heat Maps of Large High-Dimensional Data,In 2018 24th International Conference on Pattern Recognition (ICPR), Month: Aug, Year: 2018. Pages: 195-200 |
Spoof Surface Plasmon Polaritons Based Reconfigurable Band-Pass Filter,Journal: IEEE Photonics Technology Letters, Month: Feb, Year: 2019. Pages: 218-221 |
Area and Energy Efficient Magnetic Full Adder based on Differential Spin Hall MRAM,In 2018 16th IEEE International New Circuits and Systems Conference (NEWCAS), Month: June, Year: 2018. Pages: 317-321 |
Dual-Band Dual-Beam Microstrip Patch Antenna for Intelligent Transportation Systems Application,In 2018 5th IEEE Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), Month: Nov, Year: 2018. Pages: 1-5 |
Basis for Tracking Area Reconfiguration for 5G Networks,In 2018 5th IEEE Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), Month: Nov, Year: 2018. Pages: 1-5 |
Graphene Plasmonic Bowtie Antenna for UWB THz Application,In 2018 Twenty Fourth National Conference on Communications (NCC), Month: Feb, Year: 2018. Pages: 1-4 |
A Deep Learning Based Technique for Anomaly Detection in Surveillance Videos,In 2018 Twenty Fourth National Conference on Communications (NCC), Month: Feb, Year: 2018. Pages: 1-6 |
Development of a Novel Three Dimensional Frequency Selective Surface Using Polyurethane Foam for Communication Bands,In 2018 USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Month: July, Year: 2018. Pages: 133-134 |
Social-Media aided Hyperlocal Help-Network Matching Routing during Emergencies,In 2018 IEEE International Conference on Big Data (Big Data), Month: Dec, Year: 2018. Pages: 1606-1611 |
Impact of Varying Strain Energy in Oxide on Random Telegraph Noise and Associated Time Constants in Silicon Nanowire pMOSFETs,Journal: IEEE Transactions on Electron Devices, Month: March, Year: 2019. Pages: 1489-1494 |
A Randomized Kernel-Based Secret Image Sharing Scheme,In 2018 IEEE International Workshop on Information Forensics and Security (WIFS), Month: Dec, Year: 2018. Pages: 1-7 |
A Randomized Kernel-Based Secret Image Sharing Scheme —Supplementary—,In 2018 IEEE International Workshop on Information Forensics and Security (WIFS), Month: Dec, Year: 2018. Pages: 1-2 |
Design and Characterization of Bulk Driven MOS Varactor based VCO at Near Threshold Regime,In 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Month: Oct, Year: 2018. Pages: 1-2 |
TSV Induced Stress Model and Its Application in Delay Estimation,In 2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Month: Oct, Year: 2018. Pages: 1-3 |
A Novel Ramp-based Pulse Shaping Filter for Reducing Out of Band Emission in 5G GFDM System,In TENCON 2018 - 2018 IEEE Region 10 Conference, Month: Oct, Year: 2018. Pages: 0096-0101 |
3GPP LTE Downlink Channel Estimation in High-Mobility Environment Using Modified Extended Kalman Filter,In TENCON 2018 - 2018 IEEE Region 10 Conference, Month: Oct, Year: 2018. Pages: 1015-1020 |
A Scalable Framework for Trajectory Prediction,Journal: IEEE Transactions on Intelligent Transportation Systems, Month: Oct, Year: 2019. Pages: 3860-3874 |
LSPR Excitation on Au Nanorings From Integrated Hybrid Plasmonic Aperture Waveguide and Its Application in Methanol Detection in the IR-Band,Journal: IEEE Sensors Journal, Month: Aug, Year: 2019. Pages: 6119-6125 |
Impact of MWCNT Radii on the Performance of Nano Regime Interconnects,In 2018 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), Month: Dec, Year: 2018. Pages: 1-3 |
Variability-Aware Performance Assessment of Multi-Walled Carbon Nanotube Interconnects using a Predictor-Corrector Polynomial Chaos Scheme,In 2018 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), Month: Dec, Year: 2018. Pages: 1-3 |
Sharp Detection of Event’s Onset in Seismic Signals With Asymmetrical Kaiser Window-Based S-Transform,Journal: IEEE Geoscience and Remote Sensing Letters, Month: Oct, Year: 2019. Pages: 1620-1624 |
Altering the Schottky Barrier Height and Conductance by Using Metal Nanoparticles in Carbon Nanotubes-Based Devices,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2019. Pages: 2789-2794 |
A Charge Plasma-Based Monolayer Transition Metal Dichalcogenide Tunnel FET,Journal: IEEE Transactions on Electron Devices, Month: June, Year: 2019. Pages: 2837-2843 |
Partially Informed Transmitter-Based Optical Space Shift Keying Under Atmospheric Turbulence,Journal: IEEE Transactions on Wireless Communications, Month: Aug, Year: 2019. Pages: 3781-3796 |
Low Restoration-Energy Differential Spin Hall Effect MRAM for High-Speed Nonvolatile SRAM Application,In 20th International Symposium on Quality Electronic Design (ISQED), Month: March, Year: 2019. Pages: 58-63 |
A concept of satellite-based IoT for downscaling the MODIS data to extract Land Surface Temperature,In 2018 9th International Symposium on Signal, Image, Video and Communications (ISIVC), Month: Nov, Year: 2018. Pages: 67-70 |
An Approach To Detect Low-Dielectric Targets For Through Wall Imaging,In 2018 9th International Symposium on Signal, Image, Video and Communications (ISIVC), Month: Nov, Year: 2018. Pages: 43-47 |
An Efficient Application of Fusion Approach for Agriculture Drought Estimation of Uttarakhand, India with Modis Data,In 2018 9th International Symposium on Signal, Image, Video and Communications (ISIVC), Month: Nov, Year: 2018. Pages: 39-42 |
Development of a Location-based Approach for Countering Primary User Emulation Attack in Cognitive Radio Network,In 2018 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS), Month: Dec, Year: 2018. Pages: 1-6 |
Development of a Minimum Interference Leakage based Interference Aligned Network in Presence of Jammers,In 2018 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS), Month: Dec, Year: 2018. Pages: 1-5 |
The Ramifications of Making Deep Neural Networks Compact,In 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID), Month: Jan, Year: 2019. Pages: 215-220 |
MOS Varactor RO Architectures in Near Threshold Regime Using Forward Body Biasing Techniques,In 2019 32nd International Conference on VLSI Design and 2019 18th International Conference on Embedded Systems (VLSID), Month: Jan, Year: 2019. Pages: 41-45 |
Hierarchical Polynomial Chaos for Variation Analysis of Silicon Photonics Microresonators,In 2019 International Applied Computational Electromagnetics Society Symposium (ACES), Month: April, Year: 2019. Pages: 1-2 |
LSPR-Based Cholesterol Biosensor Using Hollow Core Fiber Structure,Journal: IEEE Sensors Journal, Month: Sep., Year: 2019. Pages: 7399-7406 |
A New Aspect of Saturation Phenomenon in FinFETs and Its Implication on Analog Circuits,Journal: IEEE Transactions on Electron Devices, Month: July, Year: 2019. Pages: 2863-2868 |
A Novel Twofold Tunnel FET With Reduced Miller Capacitance: Proposal and Investigation,Journal: IEEE Transactions on Electron Devices, Month: July, Year: 2019. Pages: 3202-3208 |
Critical analysis of classification techniques for precision agriculture monitoring using satellite and drone,In 2018 IEEE 13th International Conference on Industrial and Information Systems (ICIIS), Month: Dec, Year: 2018. Pages: 83-88 |
An Efficient Application of Satellite Image for Biochemical Parameters Study in Sugarcane Crop,In 2018 Conference on Information and Communication Technology (CICT), Month: Oct, Year: 2018. Pages: 1-5 |
A Novel Scaling Criterion for Optimal Trade-off Between Time and Frequency Resolution in S-transform,In 2018 International Conference on Signal Processing and Communications (SPCOM), Month: July, Year: 2018. Pages: 212-216 |
Electrical and Thermal Design of a W -Band Gyrotron Interaction Cavity,Journal: IEEE Transactions on Plasma Science, Month: July, Year: 2019. Pages: 3155-3159 |
Development of an Efficient Low-complexity Channel Estimator for Digital Television Terrestrial Broadcasting Systems,In 2019 National Conference on Communications (NCC), Month: Feb, Year: 2019. Pages: 1-6 |
Brain Tumor Segmentation Using Discriminator Loss,In 2019 National Conference on Communications (NCC), Month: Feb, Year: 2019. Pages: 1-6 |
Differential Optical Spatial Modulation Over Atmospheric Turbulence,Journal: IEEE Journal of Selected Topics in Signal Processing, Month: Oct, Year: 2019. Pages: 1417-1432 |
A Transition Metal Dichalcogenide Tunnel FET-Based Waveguide-Integrated Photodetector Using Ge for Near-Infrared Detection,Journal: IEEE Sensors Journal, Month: Oct, Year: 2019. Pages: 9187-9193 |
Differential Spin Hall Effect-Based Nonvolatile Static Random Access Memory for Energy-Efficient and Fast Data Restoration Application,Journal: IEEE Transactions on Magnetics, Month: Sep., Year: 2019. Pages: 1-11 |
Compact Conformal Multilayer Slot Antenna for Hyperthermia,In 2019 URSI Asia-Pacific Radio Science Conference (AP-RASC), Month: March, Year: 2019. Pages: 1-4 |
Spoof Surface Plasmonic Filter with Tunable Pass-Band,In 2019 URSI Asia-Pacific Radio Science Conference (AP-RASC), Month: March, Year: 2019. Pages: 1-4 |
Development of Method for Change Detection Based on Information Fusion for PALSAR-2 Data,In 2019 URSI Asia-Pacific Radio Science Conference (AP-RASC), Month: March, Year: 2019. Pages: 1-4 |
High Power Density CMOS Compatible Micro-Machined MEMs Energy Harvester,Journal: IEEE Sensors Journal, Month: Oct, Year: 2019. Pages: 9122-9130 |
Design Studies of Magnetron Injection Gun for V and W Band Gyrotrons,In 2019 International Vacuum Electronics Conference (IVEC), Month: April, Year: 2019. Pages: 1-2 |
Spoof Plasmonic-Based Band-Pass Filter With High Selectivity and Wide Rejection Bandwidth,Journal: IEEE Photonics Technology Letters, Month: Aug, Year: 2019. Pages: 1293-1296 |
Effect of Insert Misalignment on a Triangular Corrugated Coaxial Cavity Gyrotron,Journal: IEEE Transactions on Electron Devices, Month: Sep., Year: 2019. Pages: 4029-4035 |
Impact of Gate–Source Overlap on the Device/Circuit Analog Performance of Line TFETs,Journal: IEEE Transactions on Electron Devices, Month: Sep., Year: 2019. Pages: 4081-4086 |
Alleviation of Jamming in Free Space Optical Communication over Gamma-Gamma Channel with Pointing Errors,Journal: IEEE Photonics Journal, Month: Oct, Year: 2019. Pages: 1-18 |
Multi-band SIW antenna with modulated metasurface at 5G frequency,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-4 |
A Passive Electromagnetic Pressure Sensor for Application in Harsh Environments,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-3 |
Beam Steering THz Antenna Array using Graphene-based Phase Shifter,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-4 |
Reconfigurable Circular Patch THz Antenna using graphene stack based SIW Technique,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-3 |
A CRLH-SIW based Frequency-Reconfigurable Antenna for LEO to GEO Inter-Satellite Link,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-5 |
Compact Symmetric Quarter Mode Substrate Integrated Waveguide (QMSIW) Antenna,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-4 |
Millimeter Wave SIW Antenna for 5G Applications,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-3 |
Design and Development of High Gain and Narrow Beam Width Antenna For 5G Application,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Month: Dec, Year: 2018. Pages: 1-5 |
Quantum Computing Circuits Based on Spin-Torque Qubit Architecture: Toward the Physical Realization of Quantum Computers,Journal: IEEE Nanotechnology Magazine, Month: Oct, Year: 2019. Pages: 15-24 |
Row Hammering Mitigation Using Metal Nanowire in Saddle Fin DRAM,Journal: IEEE Transactions on Electron Devices, Month: Oct, Year: 2019. Pages: 4170-4175 |
Multispectral Transmission Map Fusion Method and Architecture for Image Dehazing,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Month: Nov, Year: 2019. Pages: 2693-2697 |
Minimalistic Image Signal Processing for Deep Learning Applications,In 2019 IEEE International Conference on Image Processing (ICIP), Month: Sep., Year: 2019. Pages: 4165-4169 |
A Predictor–Corrector Algorithm for Fast Polynomial Chaos-Based Uncertainty Quantification of Multi-Walled Carbon Nanotube Interconnects,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Month: Oct, Year: 2019. Pages: 1963-1975 |
Generalized Block-Based Spatial Modulation and Space Shift Keying,In 2019 IEEE 20th International Workshop on Signal Processing Advances in Wireless Communications (SPAWC), Month: July, Year: 2019. Pages: 1-5 |
Spin-Torque-Based Quantum Fourier Transform,Journal: IEEE Transactions on Magnetics, Month: Nov, Year: 2019. Pages: 1-8 |
A Highly Reliable and Energy-Efficient Triple-Node-Upset-Tolerant Latch Design,Journal: IEEE Transactions on Nuclear Science, Month: Oct, Year: 2019. Pages: 2196-2206 |
Gold Nanoparticles and Uricase Functionalized Tapered Fiber Sensor for Uric Acid Detection,Journal: IEEE Sensors Journal, Month: Jan, Year: 2020. Pages: 219-226 |
Development of 42-GHz, 200-kW Gyrotron for Indian Tokamak System Tested in the Regime of Short Pulselength,Journal: IEEE Transactions on Plasma Science, Month: Oct, Year: 2019. Pages: 4658-4663 |
Terahertz Plasmonic Metamaterial Based Multi-band Band-Pass Filter Using Micro-Ring Resonator,In 2019 USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Month: July, Year: 2019. Pages: 3-4 |
Plasmonic Metamaterial Based Dual-Band Filter,In 2019 USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Month: July, Year: 2019. Pages: 1-2 |
Design of Diode Type Magnetron Injection Gun for 170GHz Gyrotron,In 2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Month: Sep., Year: 2019. Pages: 1-2 |
Impact of Time Zero Variability and BTI Reliability on SiNW FET-Based Circuits,Journal: IEEE Transactions on Device and Materials Reliability, Month: Dec, Year: 2019. Pages: 741-750 |
Weighted Sum of Spectral Efficiency and Energy Efficiency in Spatial Modulation-MIMO Systems,In 2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall), Month: Sep., Year: 2019. Pages: 1-5 |
Performance of Free Space Optical Communication System under Jamming Attack and Its Mitigation over Non-Gaussian Noise Channel,In 2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall), Month: Sep., Year: 2019. Pages: 1-5 |
Predictor-Corrector Algorithms and Their Scalability Analysis for Fast Stochastic Modeling of Multi-Walled Carbon Nanotube Interconnects,In 2019 Joint International Symposium on Electromagnetic Compatibility, Sapporo and Asia-Pacific International Symposium on Electromagnetic Compatibility (EMC Sapporo/APEMC), Month: June, Year: 2019. Pages: 560-563 |
Development of Machine Learning Based Approach for Computing Optimal Vegetation Index with The Use of Sentinel-2 And Drone Data,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5832-5835 |
Maximum Membership Fraction Based Pure Pixel Assessment Approach for Hyperspectral Data Analysis Using Deep Learning,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5820-5823 |
A Step for Digital Agriculture by Estimating Near Real Time Soil Moisture with Scatsat-1 Data,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5698-5701 |
Critical Analysis of Fusion Algorithms for Digital Agriculture: An Efficient Application of PALSAR Data,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5917-5920 |
Different Modality Based Remote Sensing Data Fusion Approach for Efficient Classification of Agriculture and Urban Subclasses,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5710-5713 |
A Step Towards Digital Agriculture for Development of Object Based Phenology Approach to Classify Sugarcane and Paddy Crops Using Multisensor Data,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5828-5831 |
Improved Utilization of Polsar Polarization Signatures Using Convolutional-Deep Neural Nets For Land Cover Classification,In IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium, Month: July, Year: 2019. Pages: 5824-5827 |
Demonstration of a Novel Ferroelectric-Dielectric Negative Capacitance Tunnel FET,In 2019 IEEE Conference on Modeling of Systems Circuits and Devices (MOS-AK India), Month: Feb, Year: 2019. Pages: 102-105 |
Optimization For Energy-Efficient OFDM Amplify and Forward Non-Concurrent Two-Way Relaying,Journal: IEEE Communications Letters, Month: Feb, Year: 2020. Pages: 405-409 |
A Highly Reliable and Energy Efficient Radiation Hardened 12T SRAM Cell Design,Journal: IEEE Transactions on Device and Materials Reliability, Month: March, Year: 2020. Pages: 58-66 |
Jamming in Free Space Optical Systems: Mitigation and Performance Evaluation,Journal: IEEE Transactions on Communications, Month: March, Year: 2020. Pages: 1631-1647 |
Impact of Channel Correlation on Different Performance Metrics of OSSK-Based FSO Systems,Journal: IEEE Transactions on Wireless Communications, Month: March, Year: 2020. Pages: 1593-1609 |
Modeling of Voltage-Controlled Spin–Orbit Torque MRAM for Multilevel Switching Application,Journal: IEEE Transactions on Electron Devices, Month: Jan, Year: 2020. Pages: 90-98 |
Fabrication of Microfluidcs Channel with Bilayer Mo Mask and glass bonding using custom design clamp,In TENCON 2019 - 2019 IEEE Region 10 Conference (TENCON), Month: Oct, Year: 2019. Pages: 67-72 |
Development of Uric Acid Biosensor Using Gold Nanoparticles and Graphene Oxide Functionalized Micro-Ball Fiber Sensor Probe,Journal: IEEE Transactions on NanoBioscience, Month: April, Year: 2020. Pages: 173-182 |
Energy-Efficient Differential Spin Hall MRAM-Based 4-2 Magnetic Compressor,Journal: IEEE Transactions on Magnetics, Month: Jan, Year: 2020. Pages: 1-11 |
Compact Modeling of Differential Spin-Orbit Torque based MRAM,In 2018 4th IEEE International Conference on Emerging Electronics (ICEE), Month: Dec, Year: 2018. Pages: 1-6 |
Modelling of Graphene Based Tunable Ring Resonator for THz Biosensor Applications,In 2019 International Conference on Signal Processing and Communication (ICSC), Month: March, Year: 2019. Pages: 47-50 |
Compact Conformal Slot Antenna for Hyperthermia Applications,In 2019 International Conference on Signal Processing and Communication (ICSC), Month: March, Year: 2019. Pages: 130-132 |
Simultaneously Concentrated PSWF-based Synchrosqueezing S-transform and its application to R peak detection in ECG signal,In 2019 28th IEEE International Conference on Robot and Human Interactive Communication (RO-MAN), Month: Oct, Year: 2019. Pages: 1-6 |
Fabrication and Characterization of Carbon Nanotube Microdevices for CO2 Gas Sensing and Other Applications,In 2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON), Month: Nov, Year: 2019. Pages: 1-6 |
Detection of Various Anti-Forensic Operations Based on DCT Coefficient Analysis,In 2018 15th IEEE India Council International Conference (INDICON), Month: Dec, Year: 2018. Pages: 1-5 |
Mitigating the Impact of Channel Tapering in Vertical Channel 3-D NAND,Journal: IEEE Transactions on Electron Devices, Month: March, Year: 2020. Pages: 929-936 |
Temperature-Aware Closed-Form Matrix Rational Approximation Model for Crosstalk Analysis of Multi-Walled Carbon Nanotube Interconnects,In 2019 Electrical Design of Advanced Packaging and Systems (EDAPS), Month: Dec, Year: 2019. Pages: 1-3 |
Localized Surface Plasmon Resonance Based Hetero-Core Optical Fiber Sensor Structure for the Detection of L-Cysteine,Journal: IEEE Transactions on Nanotechnology, Month: , Year: 2020. Pages: 201-208 |
Mitigating the Passing Word Line Induced Soft Errors in Saddle Fin DRAM,Journal: IEEE Transactions on Electron Devices, Month: April, Year: 2020. Pages: 1902-1905 |
A Dual-Band Antenna using IDC Based CRLH-TL Cell with Non-Uniform Finger Width,In 2019 IEEE Asia-Pacific Microwave Conference (APMC), Month: Dec, Year: 2019. Pages: 798-800 |
Computing-in-Memory Architecture Using Energy-Efficient Multilevel Voltage-Controlled Spin-Orbit Torque Device,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2020. Pages: 1972-1979 |
Monolayer MoSefet-Based-Based Tunneling Field Effect Transistor for Ultrasensitive Strain Sensing,Journal: IEEE Transactions on Electron Devices, Month: May, Year: 2020. Pages: 2140-2146 |
Visual Approaches for Exploratory Data Analysis: A Survey of the Visual Assessment of Clustering Tendency (VAT) Family of Algorithms,Journal: IEEE Systems, Man, and Cybernetics Magazine, Month: April, Year: 2020. Pages: 10-48 |
Crosstalk Analysis in MWCNTs using a Closed-Form Matrix Rational Approximation Technique,In 2019 IEEE 28th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS), Month: Oct, Year: 2019. Pages: 1-3 |
Designing rectangular patch antenna using the neurospectral method,Journal: IEEE transactions on antennas and propagation, Publisher: IEEE, Year: 2003. Pages: 1914-1921 |
Applications of neural networks in wireless communications,Journal: IEEE Antennas and Propagation Magazine, Publisher: IEEE, Year: 2004. Pages: 130-137 |
An ANN application for fault finding in antenna arrays,Journal: IEEE Transactions on Antennas and Propagation, Publisher: IEEE, Year: 2007. Pages: 775-777 |
A frequency reconfigurable antenna design using neural networks,In 2005 IEEE Antennas and Propagation Society International Symposium, Year: 2005. Pages: 409-412 |
Microstrip patch antenna with skew-F shaped DGS for dual band operation,Journal: Progress In Electromagnetics Research, Publisher: EMW Publishing, Year: 2011. Pages: 147-160 |
Modeling frequency reconfigurable antenna array using neural networks,Journal: Microwave and optical technology letters, Publisher: Wiley Subscription Services, Inc., A Wiley Company, Year: 2005. Pages: 351-354 |
Fault finding in antenna arrays using bacteria foraging optimization technique,In 2011 National Conference on Communications (NCC), Year: 2011. Pages: 1-5 |
Finding failed element positions in linear antenna arrays using neural networks,In 2006 IEEE Antennas and Propagation Society International Symposium, Year: 2006. Pages: 1675-1678 |
Null steering in failed antenna arrays,Journal: Applied Computational Intelligence and Soft Computing, Publisher: Hindawi, Year: 2011. |
Neural networks in antenna engineering-beyond black-box modeling,In IEEE/ACES International Conference on Wireless Communications and Applied Computational Electromagnetics, 2005., Year: 2005. Pages: 598-601 |
A PSO application for locating defective elements in antenna arrays,In 2009 World Congress on Nature & Biologically Inspired Computing (NaBIC), Year: 2009. Pages: 1094-1098 |
Analysis of coaxial fed dual patch multilayer X/Ku band antenna using artificial neural networks,In 2009 World Congress on Nature & Biologically Inspired Computing (NaBIC), Year: 2009. Pages: 1111-1114 |
Neurospectral analysis of coaxial fed rectangular patch antenna,In IEEE Antennas and Propagation Society International Symposium. Transmitting Waves of Progress to the Next Millennium. 2000 Digest. Held in conjunction with: USNC/URSI National Radio Science Meeting (C, Year: 2000. Pages: 1062-1065 |
Design of custom-made stacked patch antennas: a machine learning approach,Journal: International Journal of Machine Learning and Cybernetics, Publisher: Springer-Verlag, Year: 2013. Pages: 189-194 |
Multilayered complementary quasi-fractal Sierpinski patch antenna for wireless terminals,Journal: URSI XXVIII GA Poster Presentations Programme, Year: 2005. |
Back to back combined single feed proximity coupled antenna with dumbbell shaped DGS,Journal: Journal of Electromagnetic Analysis and Applications, Publisher: Scientific Research Publishing, Year: 2011. |
Modern antenna handbook,Publisher: John Wiley & Sons, Year: 2011. |
Limits of compensation in a failed antenna array,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2014. Pages: 635-645 |
Design and testing of a multifrequency antenna with a reconfigurable feed,Journal: IEEE Antennas and wireless propagation letters, Publisher: IEEE, Year: 2014. Pages: 730-733 |
Parallelization of particle swarm optimization using message passing interfaces (MPIs),In 2009 World Congress on Nature & Biologically Inspired Computing (NaBIC), Year: 2009. Pages: 67-71 |
Neural Networks for Antennas,Journal: Modern Antenna Handbook, Publisher: John Wiley & Sons, Inc. Hoboken, NJ, USA, Year: 2008. Pages: 1625-1657 |
Fault finding in antenna array using bacteria foraging optimization technique,Journal: Journal of Communication and Computer, Publisher: 美國大衛出版公司, Year: 2012. Pages: 345-349 |
An accurate model for dynamic crosstalk analysis of CMOS gate driven on-chip interconnects using FDTD method,Journal: Microelectronics Journal, Publisher: Elsevier, Year: 2014. Pages: 441-448 |
NEUROCOMPUTATIONAL ANALYSIS OF A FREQUENCY RECONFIGURABLE ANTENNA,In Proceedings of International conference on Antenna Technologies, Year: 2005. Pages: 59 |
Comparative study of bio-inspired optimization techniques in antenna array failure compensation,In 2013 IEEE Antennas and Propagation Society International Symposium (APSURSI), Year: 2013. Pages: 1232-1233 |
IMPLEMENTATION OF THE NEURAL NETWORK MODEL,Journal: IETE Journal of Research, Publisher: Institution of Electronics and Telecommunication Engineers, Year: 1998. Pages: 35 |
Pattern recovery in failed antenna arrays by partial control of real-weights,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Year: 2011. Pages: 1-4 |
Bacteria foraging optimization in antenna engineering: An application to array fault finding,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: Wiley Subscription Services, Inc., A Wiley Company Hoboken, Year: 2013. Pages: 141-148 |
A Healing System for Failed Antenna Array using PSO,
|
Neural network-based CAD model for the design of square-patch antennas,Journal: IEEE Transactions on Antennas and propagation, Publisher: IEEE, Year: 1998. Pages: 1890-1891 |
ANN techniques in microwave engineering,Journal: IEEE Microwave Magazine, Publisher: IEEE, Year: 2000. Pages: 55-60 |
An artificial neural network model for effective dielectric constant of microstrip line,Journal: IEEE Transactions on Antennas and Propagation, Publisher: IEEE, Year: 1997. Pages: 1697 |
Defected ground structure in the perspective of microstrip antennas: a review,Journal: Frequenz, Publisher: De Gruyter, Year: 2010. Pages: 79-84 |
Neurospectral computation for complex resonant frequency of microstrip resonators,Journal: IEEE Microwave and Guided wave letters, Publisher: IEEE, Year: 1999. Pages: 351-353 |
Efficiency enhancement of microstrip patch antenna with defected ground structure,In 2008 International Conference on Recent Advances in Microwave Theory and Applications, Year: 2008. Pages: 729-731 |
Design of circular microstrip antenna using neural networks,Journal: IETE journal of research, Publisher: Taylor & Francis, Year: 1998. Pages: 35-39 |
On the size reduction of microstrip antenna with DGS,In 35th International Conference on Infrared, Millimeter, and Terahertz Waves, Year: 2010. Pages: 1-3 |
Design of Custom-Made Fractal Multi-Band Antennas Using ANN-PSO [Antenna Designer's Notebook],Journal: IEEE Antennas and Propagation Magazine, Publisher: IEEE, Year: 2011. Pages: 94-101 |
Amplitude only compensation for failed antenna array using particle swarm optimization,In 2008 IEEE antennas and propagation society international symposium, Year: 2008. Pages: 1-4 |
Neural network based particle swarm optimizer for design of dual resonance X/Ku band stacked patch antenna,In 2011 IEEE International Symposium on Antennas and Propagation (APSURSI), Year: 2011. Pages: 2932-2935 |
Dual band microstrip patch antenna for wireless applications at 5.2 GHz and 5.8 GHz using CSSRR,In 2012 International Conference on Communications, Devices and Intelligent Systems (CODIS), Year: 2012. Pages: 228-230 |
A genetically trained neural network application for fault finding in antenna arrays,In 2009 Applied Electromagnetics Conference (AEMC), Year: 2009. Pages: 1-4 |
New method for Calculating the Input Impedance of rectangular Patch Antenna,Journal: Defence Science Journal, Publisher: Defence Scientific Information & Documentation Centre, Year: 1998. Pages: 417 |
Design of customized fractal FSS,In Proceedings of the 2012 IEEE International Symposium on Antennas and Propagation, Year: 2012. Pages: 1-2 |
Stacked patch antenna design using ANN based BFO,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Year: 2011. Pages: 1-4 |
Patch antenna in isotropic plasma: Resonant frequency,Journal: Defence Science Journal, Publisher: Defence Scientific Information & Documentation Centre, Year: 1997. Pages: 395 |
Advanced Antenna Theory-Web course,
|
Modified F slot loaded CSRR inspired microstrip patch antenna for dual band WiMAX applications (3.5 GHz and 5.8 GHz),In Proceedings of the Conference on Advances in Communication and Control Systems-2013, Year: 2013. |
A compact array with low mutual coupling using defected ground structures,In 2011 IEEE Applied Electromagnetics Conference (AEMC), Year: 2011. Pages: 1-4 |
Neuro-modelling of CSRR for antenna applications,In Proceedings of the 2012 IEEE International Symposium on Antennas and Propagation, Year: 2012. Pages: 1-2 |
Modified F Slot Loaded Metamaterial Inspired Microstrip Patch Antenna Loaded With Csrr For Wireless Applications At 5.8 Ghz,
|
Neural network based CAD models for analysis and design of fin-lines for mm-wave applications,In 2007 IEEE Applied Electromagnetics Conference (AEMC), Year: 2007. Pages: 1-4 |
Modeling of crosstalk effects in coupled MLGNR interconnects based on FDTD method,In 2014 IEEE 64th Electronic Components and Technology Conference (ECTC), Year: 2014. Pages: 1091-1097 |
Design of single feed dual band dual polarized microstrip antenna with defected ground structure for aeronautical and radio navigation applications,In 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS), Year: 2014. Pages: 1-4 |
A compact dual-band antenna with omnidirectional radiation pattern,Journal: IEEE Antennas and Wireless Propagation Letters, Publisher: IEEE, Year: 2014. Pages: 503-506 |
Crosstalk noise modeling of multiwall carbon nanotube (MWCNT) interconnects using finite-difference time-domain (FDTD) technique,Journal: Microelectronics Reliability, Publisher: Pergamon, Year: 2015. Pages: 155-163 |
Novel printed MIMO antenna with pattern and polarization diversity,Journal: IEEE Antennas and wireless propagation letters, Publisher: IEEE, Year: 2014. Pages: 739-742 |
Dynamic crosstalk analysis of CMOS driven RLC interconnects using FDTD method,In Proc. IEEE Conf. AP-S/USNC-URSI, Year: 2013. Pages: 80 |
Compact Circularly Polarized Microstrip Patch Antenna for WLAN Applications,
|
Asymmetrical plus shaped fractal slotted multilayered Yagi-Uda circularly polarized microstrip antenna with DGS,In 2013 IEEE Applied Electromagnetics Conference (AEMC), Year: 2013. Pages: 1-2 |
Novel compact MIMO antenna for WLAN application,In 2013 IEEE Applied Electromagnetics Conference (AEMC), Year: 2013. Pages: 1-2 |
Design and characterization of an efficient multi-layered circularly polarized microstrip antenna,Journal: International Journal of Microwave and Wireless Technologies, Publisher: Cambridge University Press, Year: 2016. Pages: 1101-1109 |
Corrections to “An Accurate FDTD Model for Crosstalk Analysis of CMOS-Gate-Driven CoupledRLCInterconnects”[Oct 14 1185-1193],Journal: IEEE Transactions on Electromagnetic Compatibility, Publisher: IEEE, Year: 2015. Pages: 1756-1756 |
Design and testing of a compact circularly polarised microstrip antenna with fractal defected ground structure for L-band applications,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2015. Pages: 1179-1185 |
Improved crosstalk noise modeling of MWCNT interconnects using FDTD technique,Journal: Microelectronics Journal, Publisher: Elsevier, Year: 2015. Pages: 1263-1268 |
An unconditionally stable FDTD model for crosstalk analysis of VLSI interconnects,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Publisher: IEEE, Year: 2015. Pages: 1810-1817 |
MIMO Antenna with Pattern and Polarization Diversity for WLAN Applications,
|
Accurate Numerical Model for Crosstalk Analysis of SWCNT Bundle Interconnects Using FDTD Method,In 2015 IEEE International Symposium on Nanoelectronic and Information Systems, Year: 2015. Pages: 158-163 |
Interconnect modeling, cnt and gnr structures, properties, and characteristics,Publisher: Springer, Singapore, In Crosstalk in Modern On-Chip Interconnects, Year: 2016. Pages: 11-41 |
Introduction to On-Chip Interconnects and Modeling,Publisher: Springer, Singapore, In Crosstalk in Modern On-Chip Interconnects, Year: 2016. Pages: 1-9 |
An Efficient US-FDTD Model for Crosstalk Analysis of On-Chip Interconnects,Publisher: Springer, Singapore, In Crosstalk in Modern On-Chip Interconnects, Year: 2016. Pages: 97-116 |
FDTD Model for Crosstalk Analysis of Multiwall Carbon Nanotube (MWCNT) Interconnects,Publisher: Springer, Singapore, In Crosstalk in Modern On-Chip Interconnects, Year: 2016. Pages: 61-79 |
Crosstalk in Modern On-Chip Interconnects: A FDTD Approach,Publisher: Springer Singapore, Year: 2016. |
Design of Customized Fractal FSS,In IEEE ANTENNAS AND PROPAGATION SOCIETY INTERNATIONAL SYMPOSIUM, Year: 2012. Pages: 1661-1662 |
412.10: COMPARATIVE STUDY OF BIO-INSPIRED OPTIMIZATION TECHNIQUES IN ANTENNA ARRAY FAILURE COMPENSATION,In INTERNATIONAL SYMPOSIUM DIGEST ANTENNAS AND PROPAGATION, Year: 2013. Pages: 1232-1232 |
Capacity estimation of a comapct pattern diversity MIMO antenna,In 2015 International Conference on Microwave, Optical and Communication Engineering (ICMOCE), Year: 2015. Pages: 25-28 |
Tolerance on element failure for DoA estimation: A soft-computing approach,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Year: 2015. Pages: 1-2 |
Analysis of band-notch techniques in UWB antenna for impulse radio communications,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Year: 2015. Pages: 1-2 |
Gain enhancement of micro-strip patch antenna using dumbbell shaped defected ground structure,Journal: International Journal of Scientific Research Engineering & Technology (IJSRET), Year: 2013. Pages: 184-188 |
Improved DGS parameter extraction method for the polarization purity of circularly polarized microstrip antenna,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2016. Pages: 773-783 |
An analytical formulation of metamaterial-based compact patch antennas,Journal: International Journal of Electronics Letters, Publisher: Taylor & Francis, Year: 2017. Pages: 377-384 |
Time-domain performance of band-notch techniques in UWB antenna,In 2016 Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-3 |
Modulated metasurface for circular polarization,In 2016 Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-4 |
Advanced Antenna Theory,Publisher: National Programme on Technology Enhanced Learning (NPTEL), Year: 2015. |
Band-Notch Techniques in UWB Antennas: A Comparison,Publisher: Springer, Cham, In Compact Antennas for High Data Rate Communication, Year: 2018. Pages: 53-65 |
Conclusion and Future Scope,Publisher: Springer, Cham, In Compact Antennas for High Data Rate Communication, Year: 2018. Pages: 91-93 |
Compact Antennas for High Data Rate Communication,Publisher: Springer, Year: 2018. |
UWB Antennas with Notch: Design and Performance Analysis,Publisher: Springer, Cham, In Compact Antennas for High Data Rate Communication, Year: 2018. Pages: 27-51 |
Printed Antennas for MIMO: Exploitation of Polarization Diversity,Publisher: Springer, Cham, In Compact Antennas for High Data Rate Communication, Year: 2018. Pages: 81-90 |
Dual band reconfigurable plasmonic antenna using bilayer graphene,In 2017 IEEE International Symposium on Antennas and Propagation & USNC/URSI National Radio Science Meeting, Year: 2017. Pages: 921-922 |
Antenna array failure correction [antenna applications corner],Journal: IEEE Antennas and Propagation Magazine, Publisher: IEEE, Year: 2017. Pages: 106-115 |
SlW-based patch antenna with improved performance,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Year: 2017. Pages: 1-2 |
Novel broadband antennas for future mobile communications,Journal: IEEE Transactions on Antennas and Propagation, Publisher: IEEE, Year: 2018. Pages: 2299-2308 |
Material selection for TH z antennas,Journal: Microwave and Optical Technology Letters, Year: 2018. Pages: 1183-1187 |
Performance of graphene plasmonic antenna in comparison with their counterparts for low-terahertz applications,Journal: Plasmonics, Publisher: Springer US, Year: 2018. Pages: 2353-2360 |
Investigations on some wideband reflectarray elements for Ku band,In 2017 IEEE International Conference on Antenna Innovations & Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Year: 2017. Pages: 1-5 |
Graphene Plasmonic Bowtie Antenna for UWB THz Application,In 2018 Twenty Fourth National Conference on Communications (NCC), Year: 2018. Pages: 1-4 |
Design of an SIW corrugated H-plane horn antenna with improved performance,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Year: 2017. Pages: 1-2 |
Millimeter Wave SIW Antenna for 5G Applications,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-3 |
A Passive Electromagnetic Pressure Sensor for Application in Harsh Environments,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-3 |
Compact Symmetric Quarter Mode Substrate Integrated Waveguide (QMSIW) Antenna,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-4 |
A CRLH-SIW based Frequency-Reconfigurable Antenna for LEO to GEO Inter-Satellite Link,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-5 |
Multi-band SIW antenna with modulated metasurface at 5G frequency,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-4 |
Reconfigurable Circular Patch THz Antenna using graphene stack based SIW Technique,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-3 |
Sub-wavelength Graphene Planar nanoantenna for THz Application,Journal: Materials Today: Proceedings, Publisher: Elsevier, Year: 2019. Pages: 1336-1341 |
Dynamic crosstalk analysis of CMOS driven RLC interconnects using FDTD method,In 2013 USNC-URSI Radio Science Meeting (Joint with AP-S Symposium), Year: 2013. Pages: 80-80 |
A Dual-Band Antenna using IDC Based CRLH-TL Cell with Non-Uniform Finger Width,In 2019 IEEE Asia-Pacific Microwave Conference (APMC), Year: 2019. Pages: 798-800 |
Graphene loaded frequency reconfigurable metal antenna,In 2017 IEEE International Conference on Antenna Innovations & Modern Technologies for Ground, Aircraft and Satellite Applications (iAIM), Year: 2017. Pages: 1-4 |
Element Failure Detection in Antenna Arrays Using Neural Networks,
|
Neural Network Model for analysis of DGS structure,In National Symposium on Vacuum Elect. Devices & Applications,(VEDA-2009), pp. EMS1, Year: 2009. |
Ultrathin polarization independent absorber with enhanced bandwidth by incorporating giusepe peano fractal in square ring,Journal: Microwave and Optical Technology Letters, Year: 2015. Pages: 1072-1078 |
Single Layer Wideband Microwave Absorber using Array of Crossed Dipoles,Journal: IEEE Antennas and Wireless Propagation Letters, Publisher: IEEE, Year: 2016. Pages: 1589-1592 |
Planar microstrip-fed broadband circularly polarized antenna for UWB applications,Journal: Microwave and Optical Technology Letters, Year: 2016. Pages: 1088-1093 |
Thickness reduction of single layer circuit analog absorber,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Year: 2015. Pages: 1-2 |
Comment on ‘Wide-angle broadband microwave metamaterial absorber with octave bandwidth’,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2017. Pages: 442-443 |
Reduction of cross-polarized reflection to enhance dual-band absorption,Journal: Journal of Applied Physics, Publisher: AIP, Year: 2016. Pages: 205103 |
A compact ultrathin broadband absorber by reducing cross-polarized reflection from metal-backed anisotropic array,Journal: Microwave and Optical Technology Letters, Publisher: Wiley, Year: 2017. Pages: 970-976 |
Ultrathin high-efficiency X-band reflective polarization converter using sunken double arrowhead metasurface,In 2016 IEEE Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-4 |
Design of ultrathin dual-resonant reflective polarization converter with customized bandwidths,Journal: Applied Physics A, Year: 2017. Pages: 621 |
Moment method analysis of periodic array of thin conducting strips using waveguide simulator,Journal: Journal of Electromagnetic Waves and Applications, Publisher: Taylor and Francis, Year: 2018. Pages: 363-370 |
Design and analysis of printed lossy capacitive surface based ultra-wideband low-profile absorber,Journal: IEEE Transactions on Antennas and Propagation, Publisher: IEEE, Year: 2019. Pages: 3533-3538 |
Design of a Conductive FSS based Ultrathin Absorber Using Impedance Analysis Method of Equivalent Circuit Model,In 2018 IEEE Indian Conference on Antennas and Propogation (InCAP), Year: 2018. Pages: 1-4 |
An Ultrathin Linear-to-Circular Polarization Converter with Wide Axial Ratio Bandwidth,In 2019 IEEE Asia-Pacific Microwave Conference (APMC), Year: 2019. Pages: 929-931 |
6 kW/cm2 UVC laser threshold in optically pumped lasers achieved by controlling point defect formation,Journal: Applied Physics Express, Publisher: IOP Publishing, Year: 2018. Pages: 082101 |
On Ni/Au Alloyed Contacts to Mg-Doped GaN,Journal: Journal of Electronic Materials, Publisher: Springer, Year: 2018. Pages: 305-311 |
Thermal conductivity of single-crystalline AlN,Journal: Applied Physics Express, Publisher: IOP Publishing, Year: 2018. Pages: 071001 |
Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD,Journal: Applied Physics Letters, Publisher: AIP Publishing LLC, Year: 2018. Pages: 062102 |
High free carrier concentration in p-GaN grown on AlN substrates,Journal: Applied Physics Letters, Publisher: AIP Publishing LLC, Year: 2017. Pages: 032109 |
Performance improvement of ohmic contacts on Al-rich n-AlGaN grown on single crystal AlN substrate using reactive ion etching surface treatment,Journal: Applied Physics Express, Publisher: IOP Publishing, Year: 2017. Pages: 071001 |
Defect-free Ni/GaN Schottky barrier behavior with high temperature stability,Journal: Applied Physics Letters, Publisher: AIP Publishing LLC, Year: 2017. Pages: 011603 |
High temperature and low pressure chemical vapor deposition of silicon nitride on AlGaN: Band offsets and passivation studies,Journal: Journal of Applied Physics, Publisher: AIP Publishing LLC, Year: 2016. Pages: 145702 |
Understanding the gradual reset in Pt/Al2O3/Ni RRAM for synaptic applications,Journal: Semiconductor Science and Technology, Publisher: IOP Publishing, Year: 2015. Pages: 105014 |
Modeling of leakages in nano-scale DG MOSFET to implement low power SRAM: A device/circuit co-design,In 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), Year: 2007. Pages: 183-188 |
Analytical Modeling of Nanoscale Quad Gate MOSFET Including Quantum Mechanical Effects DR. NB Balamurugan, M. Surya abirami, KSowmya,
|
On Using the Volatile Mem-Capacitive Effect of TiO 2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process,Journal: Journal of Electronic Materials, Publisher: Springer, Year: 2018. Pages: 994-997 |
N-and P-type Doping in Al-rich AlGaN and AlN,Journal: ECS Transactions, Publisher: IOP Publishing, Year: 2018. Pages: 25 |
The influence of point defects on the thermal conductivity of AlN crystals,Journal: Journal of Applied Physics, Publisher: AIP Publishing LLC, Year: 2018. Pages: 185107 |
Plasma enhanced chemical vapor deposition of SiO2 and SiNx on AlGaN: Band offsets and interface studies as a function of Al composition,Journal: Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, Publisher: American Vacuum Society, Year: 2018. Pages: 061101 |
Material Considerations for the Development of III-Nitride Power Devices,Journal: ECS Transactions, Publisher: IOP Publishing, Year: 2017. Pages: 29 |
Nonlinear analysis of vanadium-and titanium-based contacts to Al-rich n-AlGaN,Journal: Japanese Journal of Applied Physics, Publisher: IOP Publishing, Year: 2017. Pages: 100302 |
Dual floating gate unified memory MOSFET with simultaneous dynamic and non-volatile operation,Journal: IEEE electron device letters, Publisher: IEEE, Year: 2013. Pages: 48-50 |
Implications of lower zero-field activation energy of dielectric in Al2O3/HfO2 bi-layer dielectric RRAM forming process,Journal: ECS Transactions, Publisher: IOP Publishing, Year: 2014. Pages: 43 |
Asymmetric dual-spacer trigate FinFET device-circuit codesign and its variability analysis,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2015. Pages: 1105-1112 |
Design and analysis of double-gate mosfets for ultra-low power radio frequency identification (rfid): Device and circuit co-design,Journal: Journal of Low Power Electronics and Applications, Publisher: Molecular Diversity Preservation International, Year: 2011. Pages: 277-302 |
Spacer Engineered FinFET Architectures: High-Performance Digital Circuit Applications,Publisher: CRC Press, Year: 2017. |
Invited review: Physics potential of the ICAL detector at the India-based Neutrino Observatory (INO),Journal: Pramana, Publisher: Springer, Year: 2017. Pages: 79 |
Modeling of Leakage Current Mechanisms in Nanoscale DG MOSFET and its Application to Low Power SRAM Design.,Journal: JCP, Year: 2008. Pages: 37-47 |
Analytical Modeling of Gate-Stack DG-MOSFET in Subthreshold Regime by Green’s Function Approach,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2018. Pages: 4724-4728 |
VLSI Design and Test: 21st International Symposium, VDAT 2017, Roorkee, India, June 29--July 2, 2017, Revised Selected Papers,Publisher: Springer, Year: 2017. |
VLSID 2017,
|
VLSI Design and Test: 23rd International Symposium, VDAT 2019, Indore, India, July 4-6, 2019, Revised Selected Papers,Publisher: Springer, Year: 2019. |
Surface potential and drain current analytical model of gate all around triple metal TFET,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2017. Pages: 606-613 |
Demonstration of a novel two source region tunnel FET,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2017. Pages: 5256-5262 |
Development of Uric Acid Biosensor Using Gold Nanoparticles and Graphene Oxide Functionalized Micro-Ball Fiber Sensor Probe,Journal: IEEE Transactions on Nanobioscience, Year: 2020. cited By 0 Pages: 173-182 |
Objective evaluation method for advance thermal imagers based on minimum resolvable temperature difference,Journal: Journal of Optics (India), Year: 2020. cited By 0 Pages: 94-101 |
Fast and robust video stabilisation with preserved intentional camera motion and smear removal for infrared video,Journal: IET Image Processing, Year: 2020. cited By 0 Pages: 376-383 |
Modeling of Voltage-Controlled Spin-Orbit Torque MRAM for Multilevel Switching Application,Journal: IEEE Transactions on Electron Devices, Year: 2020. cited By 0 Pages: 90-98 |
Performance improvement of electro-optic search and track system for maritime surveillance,Journal: Defence Science Journal, Year: 2020. cited By 0 Pages: 66-71 |
Temperature-dependent crosstalk between adjacent MLGNR interconnects of different dimensions and its impact on gate oxide reliability,Journal: Journal of Computational Electronics, Year: 2020. cited By 0 |
Micro-Ring Resonator-Based Sensors for Detection of Different Chemicals,Journal: Journal of Optical Communications, Year: 2020. cited By 0 |
Localized Surface Plasmon Resonance Based Hetero-Core Optical Fiber Sensor Structure for the Detection of L-Cysteine,Journal: IEEE Transactions on Nanotechnology, Year: 2020. cited By 0 Pages: 201-208 |
Energy-efficient differential spin hall MRAM-Based 4-2 magnetic compressor,Journal: IEEE Transactions on Magnetics, Year: 2020. cited By 0 |
Gold Nanoparticles and Uricase Functionalized Tapered Fiber Sensor for Uric Acid Detection,Journal: IEEE Sensors Journal, Year: 2020. cited By 0 Pages: 219-226 |
Performance analysis of differential spin hall effect (DSHE)-MRAM-based logic gates,Journal: Circuit World, Year: 2019. cited By 0 Pages: 300-310 |
Spin-Torque-Based Quantum Fourier Transform,Journal: IEEE Transactions on Magnetics, Year: 2019. cited By 0 |
Multispectral Transmission Map Fusion Method and Architecture for Image Dehazing,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Year: 2019. cited By 0 Pages: 2693-2697 |
A Transition Metal Dichalcogenide Tunnel FET-Based Waveguide-Integrated Photodetector Using Ge for Near-Infrared Detection,Journal: IEEE Sensors Journal, Year: 2019. cited By 1 Pages: 9187-9193 |
Development and validation of a quantitative model for the subjective and objective minimum resolvable temperature difference of thermal imaging systems,Journal: Optical Engineering, Year: 2019. cited By 0 |
Quantum Computing Circuits Based on Spin-Torque Qubit Architecture: Toward the physical realization of quantum computers,Journal: IEEE Nanotechnology Magazine, Year: 2019. cited By 0 Pages: 15-24 |
Transient analysis of crosstalk induced effects in mixed CNT bundle interconnects using FDTD technique,Journal: IEEE Transactions on Electromagnetic Compatibility, Year: 2019. cited By 4 Pages: 1621-1629 |
Analytical modelling and device design optimisation of epitaxial layer-based III-V tunnel FET,Journal: IET Circuits, Devices and Systems, Year: 2019. cited By 0 Pages: 763-770 |
Implementation of reversible Peres gate using electro-optic effect inside lithium-niobate based Mach-Zehnder interferometers,Journal: Optics and Laser Technology, Year: 2019. cited By 1 Pages: 28-37 |
Lspr-based cholesterol biosensor using hollow core fiber structure,Journal: IEEE Sensors Journal, Year: 2019. cited By 4 Pages: 7399-7406 |
A charge plasma-based monolayer transition metal dichalcogenide tunnel fet,Journal: IEEE Transactions on Electron Devices, Year: 2019. cited By 2 Pages: 2837-2843 |
LSPR-based cholesterol biosensor using a tapered optical fiber structure,Journal: Biomedical Optics Express, Year: 2019. cited By 9 Pages: 2150-2160 |
Performance enhancement of graphene plasmonic nanoantennas for THz communication,Journal: IET Microwaves, Antennas and Propagation, Year: 2019. cited By 4 Pages: 71-75 |
Area and energy efficient series multilevel cell STT-MRAMs for optimized read-write operations,Journal: IEEE Transactions on Magnetics, Year: 2019. cited By 2 |
Real time non-uniformity correction algorithm and implementation in reconfigurable architecture for infra-red imaging systems,Journal: Defence Science Journal, Year: 2019. cited By 0 Pages: 179-184 |
Design of Optical Reversible Hybrid Adder-Subtractor Device Using Mach-Zehnder Interferometers for WDM Applications,Journal: Journal of Optical Communications, Year: 2019. cited By 0 |
Modeling of a Magnetic Tunnel Junction for a Multilevel STT-MRAM Cell,Journal: IEEE Transactions on Nanotechnology, Year: 2019. cited By 0 Pages: 1005-1014 |
Optimal Boolean Logic Quantum Circuit Decomposition for Spin-Torque-Based n-Qubit Architecture,Journal: IEEE Transactions on Magnetics, Year: 2018. cited By 2 |
Transmission coefficient matrix modeling of spin-torque-based n-Qubit Architecture,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Year: 2018. cited By 2 Pages: 1461-1470 |
Parallel Multilevel Cell STT-MRAMs for Optimized Area Energy and Read-Write Operations,Journal: IEEE Transactions on Magnetics, Year: 2018. cited By 2 |
Performance analysis of VCSEL using finite difference time domain method,Journal: Optik, Year: 2018. cited By 1 Pages: 505-513 |
Temperature-dependent modeling and performance analysis of coupled MLGNR interconnects,Journal: International Journal of Circuit Theory and Applications, Year: 2018. cited By 14 Pages: 299-312 |
Inverted 'T' junctionless FinFET (ITJL FinFET): Performance estimation through device geometry variation,Journal: ECS Journal of Solid State Science and Technology, Year: 2018. cited By 1 Pages: Q52-Q59 |
T-Shaped III-V Heterojunction Tunneling Field-Effect Transistor,Journal: IEEE Transactions on Electron Devices, Year: 2017. cited By 20 Pages: 3120-3125 |
Temperature-Dependent Modeling and Crosstalk Analysis in Mixed Carbon Nanotube Bundle Interconnects,Journal: Journal of Electronic Materials, Year: 2017. cited By 9 Pages: 5324-5337 |
Air Turbulence Mitigation Techniques for Long-Range Terrestrial Surveillance,Journal: IETE Technical Review (Institution of Electronics and Telecommunication Engineers, India), Year: 2017. cited By 2 Pages: 416-430 |
Design of oxide-confined and temperature stable long wavelength Vertical Cavity Surface Emitting Laser for optical interconnects,Journal: Optik, Year: 2017. cited By 3 Pages: 506-514 |
Variation-aware widely tunable nanoscale design of CMOS active inductor-based RF bandpass filter,Journal: International Journal of Circuit Theory and Applications, Year: 2017. cited By 6 Pages: 2181-2200 |
Hybrid plasmonic waveguide with centimeter-scale propagation length for nanoscale optical confinement,Journal: Applied Optics, Year: 2016. cited By 6 Pages: 10341-10346 |
Spintronics-Based Devices to Circuits: Perspectives and challenges.,Journal: IEEE Nanotechnology Magazine, Year: 2016. cited By 5 Pages: 13-28 |
Design of LMS adaptive radar detector for non-homogeneous interferences,Journal: IETE Technical Review (Institution of Electronics and Telecommunication Engineers, India), Year: 2016. cited By 5 Pages: 269-279 |
Modeling and optimization of single-mode vertical cavity surface emitting lasers,Journal: Journal of Nanophotonics, Year: 2016. cited By 1 |
Performance Enhancement of STT MRAM Using Asymmetric-k Sidewall-Spacer NMOS,Journal: IEEE Transactions on Electron Devices, Year: 2016. cited By 2 Pages: 2771-2776 |
Thermally aware performance analysis of single-walled carbon nanotube bundle as VLSI interconnects,Journal: Journal of Computational Electronics, Year: 2016. cited By 14 Pages: 407-419 |
Performance analysis of multilayer graphene nanoribbon (MLGNR) interconnects,Journal: Journal of Computational Electronics, Year: 2016. cited By 16 Pages: 358-366 |
Low-power high-density STT MRAMs on a 3-D vertical silicon nanowire platform,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Year: 2016. cited By 4 Pages: 1371-1376 |
Improved crosstalk noise modeling of MWCNT interconnects using FDTD technique,Journal: Microelectronics Journal, Year: 2015. cited By 13 Pages: 1263-1268 |
An unconditionally stable FDTD model for crosstalk analysis of VLSI interconnects,Journal: IEEE Transactions on Components, Packaging and Manufacturing Technology, Year: 2015. cited By 17 Pages: 1810-1817 |
All Spin Logic: A Micromagnetic Perspective,Journal: IEEE Transactions on Magnetics, Year: 2015. cited By 5 |
Electrical Tuning of Optical Delay in Graphene-Based Photonic Crystal Waveguide,Journal: IEEE Journal of Quantum Electronics, Year: 2015. cited By 13 |
Stability and delay analysis of multi-layered GNR and multi-walled CNT interconnects,Journal: Journal of Computational Electronics, Year: 2015. cited By 17 Pages: 611-618 |
Propagation delay and power dissipation for different aspect ratio of single-walled carbon nanotube bundled TSV,Journal: Journal of Semiconductors, Year: 2015. cited By 1 |
FDTD technique based crosstalk analysis of bundled SWCNT interconnects,Journal: Journal of Semiconductors, Year: 2015. cited By 13 |
Time and Frequency Domain Analysis of MLGNR Interconnects,Journal: IEEE Transactions on Nanotechnology, Year: 2015. cited By 43 Pages: 484-492 |
Asymmetric Dual-Spacer Trigate FinFET Device-Circuit Codesign and Its Variability Analysis,Journal: IEEE Transactions on Electron Devices, Year: 2015. cited By 30 Pages: 1105-1112 |
Bus encoder design for crosstalk and power reduction in RLC modelled VLSI interconnects,Journal: Journal of Engineering, Design and Technology, Year: 2015. cited By 2 Pages: 486-498 |
Process-Induced Delay Variation in SWCNT, MWCNT, and Mixed CNT Interconnects,Journal: IETE Journal of Research, Year: 2015. cited By 12 Pages: 533-540 |
Crosstalk noise modeling of multiwall carbon nanotube (MWCNT) interconnects using finite-difference time-domain (FDTD) technique,Journal: Microelectronics Reliability, Year: 2015. cited By 17 Pages: 155-163 |
Crosstalk Induced Delay Analysis of Randomly Distributed Mixed CNT Bundle Interconnect,Journal: Journal of Circuits, Systems and Computers, Year: 2015. cited By 12 |
Design and analysis of noise margin, write ability and read stability of organic and hybrid 6-T SRAM cell,Journal: Microelectronics Reliability, Year: 2014. cited By 30 Pages: 2801-2812 |
Analysis of delay and dynamic crosstalk in bundled carbon nanotube interconnects,Journal: IEEE Transactions on Electromagnetic Compatibility, Year: 2014. cited By 51 Pages: 1666-1673 |
Carbon nanotube based 3-D interconnects - A reality or a distant dream,Journal: IEEE Circuits and Systems Magazine, Year: 2014. cited By 16 Pages: 16-35 |
Novel 4 F2 Buried-source-line STT MRAM cell with vertical GAA transistor as select device,Journal: IEEE Transactions on Nanotechnology, Year: 2014. cited By 11 Pages: 1163-1171 |
Investigation of symmetric dual-k spacer trigate FinFETs from delay perspective,Journal: IEEE Transactions on Electron Devices, Year: 2014. cited By 37 Pages: 3579-3585 |
Performance analysis for randomly distributed mixed carbon nanotube bundle interconnects,Journal: Micro and Nano Letters, Year: 2014. cited By 5 Pages: 792-796 |
An accurate FDTD model for crosstalk analysis of CMOS-gate-driven coupled RLC interconnects,Journal: IEEE Transactions on Electromagnetic Compatibility, Year: 2014. cited By 39 Pages: 1185-1193 |
Modeling of in-plane magnetic tunnel junction for mixed mode simulations,Journal: IEEE Transactions on Magnetics, Year: 2014. cited By 6 |
Signal integrity and propagation delay analysis using FDTD technique for VLSI interconnects,Journal: Journal of Computational Electronics, Year: 2014. cited By 6 Pages: 300-306 |
Organic thin film transistors: Structures, models, materials, fabrication, and applications: A review,Journal: Polymer Reviews, Year: 2014. cited By 151 Pages: 33-111 |
Delay model for dynamically switching coupled on-chip interconnects,Journal: Journal of Engineering, Design and Technology, Year: 2014. cited By 0 Pages: 364-373 |
Graphene-based on-chip interconnects and TSVs: Prospects and challenges,Journal: IEEE Nanotechnology Magazine, Year: 2014. cited By 27 Pages: 14-20 |
Impact of driver size and interwire parasitics on crosstalk noise and delay,Journal: Journal of Engineering, Design and Technology, Year: 2014. cited By 1 Pages: 475-490 |
Delay and crosstalk reliability issues in mixed MWCNT bundle interconnects,Journal: Microelectronics Reliability, Year: 2014. cited By 22 Pages: 2570-2577 |
Delay uncertainty in MLGNR interconnects under process induced variations of width, doping, dielectric thickness and mean free path,Journal: Journal of Computational Electronics, Year: 2014. cited By 14 Pages: 639-646 |
Frequency response and bandwidth analysis of multi-layer graphene nanoribbon and multi-walled carbon nanotube interconnects,Journal: Micro and Nano Letters, Year: 2014. cited By 26 Pages: 557-560 |
Static and dynamic characteristics of dual gate organic TFT based NAND and NOR circuits,Journal: Journal of Computational Electronics, Year: 2014. cited By 27 Pages: 627-638 |
FDTD based transition time dependent crosstalk analysis for coupled RLC interconnects,Journal: Journal of Semiconductors, Year: 2014. cited By 2 |
An accurate model for dynamic crosstalk analysis of CMOS gate driven on-chip interconnects using FDTD method,Journal: Microelectronics Journal, Year: 2014. cited By 21 Pages: 441-448 |
Design metrics improvement for SRAMs using symmetric dual-k spacer (SymD-k) FinFETs,Journal: IEEE Transactions on Electron Devices, Year: 2014. cited By 15 Pages: 1123-1130 |
Analysis of electrical parameters of organic thin film transistors based on thickness variation in semiconducting and dielectric layers,Journal: IET Circuits, Devices and Systems, Year: 2014. cited By 20 Pages: 131-140 |
Perspectives and challenges for organic thin film transistors: Materials, devices, processes and applications,Journal: Journal of Materials Science: Materials in Electronics, Year: 2014. cited By 71 Pages: 1-30 |
Analysis of DRV trade-off in deep sub micron sram technology for low power,Journal: World Applied Sciences Journal, Year: 2014. cited By 1 Pages: 56-62 |
Signal integrity analysis in carbon nanotube based through-silicon via,Journal: Active and Passive Electronic Components, Year: 2014. cited By 2 |
Single and dual gate OTFT based robust organic digital design,Journal: Microelectronics Reliability, Year: 2014. cited By 35 Pages: 100-109 |
Analysis of static and dynamic performance of organic inverter circuits based on dual and single gate organic thin film transistors,Journal: IET Circuits, Devices and Systems, Year: 2013. cited By 10 Pages: 345-351 |
A low power novel encoding technique for RC modelled VLSI interconnects,Journal: Journal of Low Power Electronics, Year: 2013. cited By 0 Pages: 471-478 |
Static and dynamic analysis of organic and hybrid inverter circuits,Journal: Journal of Computational Electronics, Year: 2013. cited By 20 Pages: 765-774 |
A novel approach to reduce leakage current in ULP SRAM,Journal: IETE Journal of Research, Year: 2013. cited By 4 Pages: 704-708 |
Reduction of subthreshold leakage current in MOS transistors,Journal: World Applied Sciences Journal, Year: 2013. cited By 6 Pages: 446-450 |
Bus encoder design for reduced crosstalk, power and area in coupled VLSI interconnects,Journal: Microelectronics Journal, Year: 2013. cited By 6 Pages: 827-833 |
Analytical modeling and parameter extraction of top and bottom contact structures of organic thin film transistors,Journal: Microelectronics Journal, Year: 2013. cited By 24 Pages: 736-743 |
High-performance and robust SRAM cell based on asymmetric dual-k spacer Finfets,Journal: IEEE Transactions on Electron Devices, Year: 2013. cited By 51 Pages: 3371-3377 |
Analysis of electrode thickness variation on performance parameters of polymer thin film transistors using device simulation,Journal: International Journal of Advanced Intelligence Paradigms, Year: 2013. cited By 2 Pages: 3-15 |
A novel approach to reduce sub threshold leakage in deep sub-micron SRAM,Journal: World Applied Sciences Journal, Year: 2013. cited By 6 Pages: 442-446 |
Analysis of propagation delay and power with variation in driver size and number of shells in multi walled carbon nanotube interconnects,Journal: Journal of Engineering, Design and Technology, Year: 2013. cited By 3 Pages: 19-33 |
Novel spatially arranged mixed carbon nanotube bundle interconnects - Impact on delay and power,Journal: Scientia Iranica, Year: 2013. cited By 0 Pages: 2341-2347 |
Modeling of top and bottom contact structure organic field effect transistors,Journal: Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics, Year: 2013. cited By 23 |
Channel length variation effect on performance parameters of organic field effect transistors,Journal: Microelectronics Journal, Year: 2012. cited By 56 Pages: 985-994 |
Analysis of MWCNT and bundled SWCNT interconnects: Impact on crosstalk and area,Journal: IEEE Electron Device Letters, Year: 2012. cited By 46 Pages: 1180-1182 |
Dynamic crosstalk effect in mixed CNT bundle interconnects,Journal: Electronics Letters, Year: 2012. cited By 25 Pages: 384-385 |
Boundary scan based testing algorithm to detect interconnect faults in printed circuit boards,Journal: Circuit World, Year: 2011. cited By 3 Pages: 27-34 |
Repeater insertion in crosstalk-aware inductively and capacitively coupled interconnects,Journal: International Journal of Circuit Theory and Applications, Year: 2011. cited By 11 Pages: 629-647 |
VLSI interconnects and their testing: Prospects and challenges ahead,Journal: Journal of Engineering, Design and Technology, Year: 2011. cited By 11 Pages: 63-84 |
Performance comparison of carbon nanotube, nickel silicide nanowire and copper VLSI interconnects: Perspectives and challenges ahead,Journal: Journal of Engineering, Design and Technology, Year: 2010. cited By 0 Pages: 334-353 |
An analytical approach to dynamic crosstalk in coupled interconnects,Journal: Microelectronics Journal, Year: 2010. cited By 31 Pages: 85-92 |
Crosstalk analysis of simultaneously switching interconnects,Journal: International Journal of Electronics, Year: 2009. cited By 15 Pages: 1095-1114 |
Effects of process variation in VLSI interconnects - A technical review,Journal: Microelectronics International, Year: 2009. cited By 13 Pages: 49-55 |
Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects,Journal: Microelectronics Journal, Year: 2008. cited By 23 Pages: 1834-1842 |
Crosstalk analysis for a CMOS-gate-driven coupled interconnects,Journal: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Year: 2008. cited By 53 Pages: 1150-1154 |
Effect of line resistance and driver width on crosstalk in coupled VLSI interconnects,Journal: Microelectronics International, Year: 2007. cited By 18 Pages: 42-45 |
Waveform analysis and delay prediction for a CMOS gate driving RLC interconnect load,Journal: Integration, the VLSI Journal, Year: 2007. cited By 22 Pages: 394-405 |
Future VLSI interconnects: Optical fiber or carbon nanotube - A review,Journal: Microelectronics International, Year: 2007. cited By 29 Pages: 53-63 |
Voltage scaling - A novel approach for crosstalk reduction in global VLSI interconnects,Journal: Microelectronics International, Year: 2007. cited By 3 Pages: 40-45 |
Crosstalk analysis and repeater insertion in crosstalk aware coupled VLSI interconnects,Journal: Microelectronics International, Year: 2006. cited By 23 Pages: 55-63 |
Width optimization of global inductive VLSI interconnects,Journal: Microelectronics International, Year: 2006. cited By 11 Pages: 26-30 |
Linearization of concurrent tri-band transmitters using 3-D phase-aligned pruned Volterra model,Journal: IEEE transactions on microwave theory and techniques, Publisher: IEEE, Year: 2013. Pages: 4569-4578 |
A mutual distortion and impairment compensator for wideband direct-conversion transmitters using neural networks,Journal: IEEE transactions on broadcasting, Publisher: IEEE, Year: 2012. Pages: 168-177 |
Distributed spatiotemporal neural network for nonlinear dynamic transmitter modeling and adaptive digital predistortion,Journal: IEEE transactions on instrumentation and measurement, Publisher: IEEE, Year: 2011. Pages: 595-608 |
Distortion and impairments mitigation and compensation of single-and multi-band wireless transmitters,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2013. Pages: 518-534 |
Three-dimensional digital predistorter for concurrent tri-band power amplifier linearization,In 2013 IEEE MTT-S International Microwave Symposium Digest (MTT), Year: 2013. Pages: 1-4 |
Modeling of wideband radio frequency power amplifiers using Zernike polynomials,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: Wiley Subscription Services, Inc., A Wiley Company Hoboken, Year: 2012. Pages: 289-296 |
Rational function based model for the joint mitigation of I/Q imbalance and PA nonlinearity,Journal: IEEE microwave and wireless components letters, Publisher: IEEE, Year: 2013. Pages: 196-198 |
Joint evaluation and mitigation of RF impairments and nonlinear distortion in WiMAX Transceiver under Nakagami-m fading channel,In 2011 24th Canadian Conference on Electrical and Computer Engineering (CCECE), Year: 2011. Pages: 000926-000929 |
2D quasi exact inverse of PA model in digital predistorter for concurrent dual-band system,In WAMICON 2014, Year: 2014. Pages: 1-4 |
Characterization and modeling scheme for harmonics at power amplifier output,In 83rd ARFTG Microwave Measurement Conference, Year: 2014. Pages: 1-4 |
Pseudo-Zernike polynomials for numerically stable PA modeling using 3G+ signals,Journal: Microwave and Optical Technology Letters, Year: 2014. Pages: 2569-2572 |
Quasi-exact inverse PA model for digital predistorter linearization,In 82nd ARFTG microwave measurement conference, Year: 2013. Pages: 1-4 |
Concurrent dual-band transmitter behavioral modeling with physically motivated 2-D rational functions,In 82nd ARFTG Microwave Measurement Conference, Year: 2013. Pages: 1-4 |
Low complexity distributed model for the compensation of direct conversion transmitter’s imperfections,Journal: IEEE Transactions on Broadcasting, Publisher: IEEE, Year: 2014. Pages: 568-574 |
Digitally supported feed-forward harmonic cancellation for filter-less ultra-wideband transmitters,In 2014 IEEE international microwave and RF conference (IMARC), Year: 2014. Pages: 84-87 |
Analog and Digital Data, Image and Signal Processing-Distributed Spatiotemporal Neural Network for Nonlinear Dynamic Transmitter Modeling and Adaptive Digital Predistortion,Journal: IEEE Transactions on Instrumentation and Measurement, Year: 2012. Pages: 595 |
Concurrent dual-band modeling and digital predistortion in the presence of unfilterable harmonic signal interference,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2015. Pages: 625-637 |
Adaptive linearization of transmitter in the presence of I/Q Imbalance using distributed spatio-temporal neural network,In 2012 IEEE International Conference on Wireless Information Technology and Systems (ICWITS), Year: 2012. Pages: 1-4 |
Automatic feed-forward cancellation of modulated harmonic,In 2015 86th ARFTG microwave measurement conference, Year: 2015. Pages: 1-3 |
Digital predistortion of power amplifiers with diversity technique in 4G MIMO transceivers,In 2015 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2015. Pages: 209-211 |
Low cost implementation of software defined radio for improved transmit quality of 4G signals,In 2015 Communication, Control and Intelligent Systems (CCIS), Year: 2015. Pages: 108-112 |
Performance analysis of multilevel delta sigma modulators for 3G/4G communication,In 2015 IEEE UP Section Conference on Electrical Computer and Electronics (UPCON), Year: 2015. Pages: 1-5 |
Novel implementation topology for three level delta sigma modulation based transmitter,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Year: 2015. Pages: 1-2 |
Closed-form distribution and analysis of a combined nakagami-lognormal shadowing and unshadowing fading channel,Journal: Journal of Telecommunications and Information Technology, Year: 2016. |
Application of principal component analysis based effective digital predistortion technique for low-cost FPGA implementation,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2017. Pages: e21095 |
Digital predistorter design using linear spline and its fixed point implementation,In 2016 Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-4 |
Complexity and numerical stability investigation in concurrent dual-band modeling of ultra-wideband power amplifiers for harmonically related signals,In 2016 Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-4 |
Delay compensation for 4G/5G transmitter system characterization,Journal: Microwave and Optical Technology Letters, Year: 2017. Pages: 1887-1890 |
Independent component analysis for multi-carrier transmission for 4G/5G power amplifiers,In 2017 89th ARFTG Microwave Measurement Conference (ARFTG), Year: 2017. Pages: 1-4 |
Linearization of traveling-wave tube amplifiers using digitally supported signal injection technique,Journal: Journal of Electromagnetic Waves and Applications, Publisher: Taylor & Francis, Year: 2017. Pages: 1802-1815 |
A modified hybrid RF predistorter linearizer for ultra wideband 5G systems,Journal: IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Publisher: IEEE, Year: 2017. Pages: 547-557 |
Artificial neural networks for modeling and digital predistortion for software defined transmitters,Publisher: University of Calgary, Year: 2012. |
RF in--RF out Linearizer System Design for Satellite Communication,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2018. Pages: 2378-2384 |
RF front-end flexibility, self-calibration, and self-linearization: characterizing and mitigating nonlinearities in SDR MIMO systems for concurrent multiband operation,Journal: IEEE Microwave Magazine, Publisher: IEEE, Year: 2018. Pages: 49-61 |
Statistical model of channel capacity for MRC combiner in log normal fading channels,In 2016 11th International Conference on Industrial and Information Systems (ICIIS), Year: 2016. Pages: 393-398 |
Comparative analysis of beamforming techniques for wideband signals,In 2017 International Conference on Computing and Communication Technologies for Smart Nation (IC3TSN), Year: 2017. Pages: 51-54 |
2D curtailed harmonic memory polynomial for reduced complexity in concurrent dual-band modelling and digital predistortion with the second band at harmonic frequency,Journal: IET Communications, Publisher: IET Digital Library, Year: 2018. Pages: 1438-1447 |
Low-cost RF in--RF out predistorter linearizer for high-power amplifiers and ultra-wideband signals,Journal: IEEE Transactions on Instrumentation and Measurement, Publisher: IEEE, Year: 2018. Pages: 2069-2081 |
Modified RF in-RF out broadband predistorter for 5G communication system,In 2018 IEEE International Symposium on Circuits and Systems (ISCAS), Year: 2018. Pages: 1-5 |
Curtailed digital predistortion model for crosstalk in MIMO transmitters,In 2018 IEEE/MTT-S International Microwave Symposium-IMS, Year: 2018. Pages: 927-930 |
A Low-Cost Test Bench for the Characterization of Microwave Devices Using Modulated Envelope Signal,In 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2017. Pages: 1-5 |
3D Generalized Coefficient Supported Model for Concurrent Dual-Band Digital Predistortion of Envelope Tracking Power Amplifier,In 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2017. Pages: 1-4 |
Hybrid Beamforming Utilization Perspective for Future 5G Millimeter Wave Communication,In 2017 IEEE International WIE Conference on Electrical and Computer Engineering (WIECON-ECE), Year: 2017. Pages: 149-152 |
Beam Shaping using Genetic Algorithm for Large Array Beamforming,In 2018 5th International Conference on Signal Processing and Integrated Networks (SPIN), Year: 2018. Pages: 936-939 |
Composite Neural Network Digital Predistortion Model for Joint Mitigation of Crosstalk, I/Q Imbalance, Nonlinearity in MIMO Transmitters,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2018. Pages: 5011-5020 |
Harmonically related concurrent tri-band behavioral modeling and digital predistortion,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2018. Pages: 1073-1077 |
Digital predistortion technique for low resource consumption using carrier aggregated 4G/5G signals,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2018. Pages: 197-207 |
Unified Analysis of Secrecy Capacity Over N* Nakagami Cascaded Fading Channel,In 2018 18th International Symposium on Communications and Information Technologies (ISCIT), Year: 2018. Pages: 422-427 |
Multiband linearization technique for broadband signal with multiple closely spaced bands,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2018. Pages: 1115-1129 |
RFPA Nonlinearity Compensation with MIMO Diversity for Indoor Channels,In 2018 5th IEEE Uttar Pradesh Section International Conference on Electrical, Electronics and Computer Engineering (UPCON), Year: 2018. Pages: 1-5 |
Modified Low Complexity Precoding Algorithm for Millimeter Wave MIMO Systems,In 2018 Second International Conference on Intelligent Computing and Control Systems (ICICCS), Year: 2018. Pages: 1066-1069 |
Digitally modified filter-less receiver for 2D digital predistortion Of concurrent dual-band power amplifiers,In 2014 IEEE MTT-S International Microwave Symposium (IMS2014), Year: 2014. Pages: 1-4 |
Predistortion Linearizer Design for K u Band RF Power Amplifier,In 2019 National Conference on Communications (NCC), Year: 2019. Pages: 1-6 |
LSTM-Deep Neural Networks based Predistortion Linearizer for High Power Amplifiers,In 2019 National Conference on Communications (NCC), Year: 2019. Pages: 1-5 |
Harmonic Cancellation Technique for Ultra-Wideband Filter-Less 5G Transmitter,In 2019 93rd ARFTG Microwave Measurement Conference (ARFTG), Year: 2019. Pages: 1-4 |
Multiband/multichannel power amplifier linearization,Journal: RADIO FREQUENCY AND MICROWAVE POWER AMPLIFIERS: Efficiency and Linearity, Publisher: INST OF ENGIN AND TECH, Year: 2019. Pages: 387 |
Effective capacity of wireless networks over double shadowed Rician fading channels,Journal: Wireless Networks, Publisher: Springer US, Year: 2020. Pages: 1347-1355 |
Secrecy Capacity of Physical Layer over \backslashkappa-\backslashmu /Gamma Composite Fading Channel,In TENCON 2019-2019 IEEE Region 10 Conference (TENCON), Year: 2019. Pages: 1472-1477 |
On the Performance Analysis of Effective Capacity of Double Shadowed \backslashkappa-\backslashmu Fading Channels,In TENCON 2019-2019 IEEE Region 10 Conference (TENCON), Year: 2019. Pages: 806-810 |
Low Cost RF Predistortion for Carrier Aggregated Ultra-Wideband Signals,In Graduate Student Day Workshop, Year: 2019. Pages: 1 |
Signal Quality Enhancement for Power and Spectrum Efficient OFDM based Communication,In 2019 International Conference on Computing, Power and Communication Technologies (GUCON), Year: 2019. Pages: 115-120 |
Outage Analysis of Double Shadowed \backslashkappa-\backslashmu Fading Channels,In 2019 10th International Conference on Computing, Communication and Networking Technologies (ICCCNT), Year: 2019. Pages: 1-4 |
On the Analysis of Effective Capacity for 5G Millimeter-Wave Communication,In 2019 10th International Conference on Computing, Communication and Networking Technologies (ICCCNT), Year: 2019. Pages: 1-4 |
Performance Analysis of AFD and LCR in 3-D MIMO Channels for LAP Airborne Communications,In 2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON), Year: 2019. Pages: 1-5 |
Characterization of Hardware Impairments in Software Defined Radio,In 2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON), Year: 2019. Pages: 1-4 |
Reliable HSR Communications for Green Smart Cities,In 2019 5th International Conference on Signal Processing, Computing and Control (ISPCC), Year: 2019. Pages: 275-280 |
Analog Predistortion Linearizer Control Schemes for Ultra-Broadband Signal Transmission in 5G Transmitters,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2020. |
HSR Communications in 5G Era,In 2019 IEEE 16th India Council International Conference (INDICON), Year: 2019. Pages: 1-4 |
Efficient linearisation technique for crosstalk and power amplifier non-linearity suitable for massive MIMO transmitters,Journal: IET Communications, Publisher: IET Digital Library, Year: 2020. |
Robust Linearization of Power Amplifier in the Presence of Transceiver Noise,In 2019 IEEE Asia-Pacific Microwave Conference (APMC), Year: 2019. Pages: 1655-1657 |
Linear Vector Signal Generator for X-band Communication,In 2020 IEEE Radio and Wireless Symposium (RWS), Year: 2020. Pages: 176-179 |
Broadband RF-Predistortion Supporting Carrier Aggregation,Journal: IET Circuits, Devices & Systems, Publisher: IET Digital Library, Year: 2020. |
A novel decoding technique for least-squares notching precoder in OFDM cognitive radio,In 2016 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Year: 2016. Pages: 472-477 |
Cooperative impulse radio ultra-wideband communication using coherent and non-coherent detectors: A review,Journal: Wireless personal communications, Publisher: Springer, Year: 2014. Pages: 719-748 |
Extended subcarrier weighting for sidelobe suppression in OFDM based cognitive radio,Journal: Wireless Personal Communications, Publisher: Springer, Year: 2016. Pages: 779-796 |
Performance analysis of impulse-radio ultra-wideband energy detector system using cooperative dual-hop amplify and forward strategy,Journal: International Journal of Communication Systems, Publisher: Wiley Online Library, Year: 2017. Pages: e3018 |
A suboptimal qos aware multiuser scheduling for 3gpp lte network,In 2015 Second International Conference on Advances in Computing and Communication Engineering, Year: 2015. Pages: 40-44 |
QoS Aware Channel Selection and Power Allocation for Device-to-Device Communication,In 2018 IEEE International Conference on Advanced Networks and Telecommunications Systems (ANTS), Year: 2018. Pages: 1-6 |
Improvement in active interference cancellation technique using guard carriers in MB-OFDM UWB system,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Year: 2013. Pages: 487-489 |
Design of SCFDMA system using MIMO,Publisher: Springer, In Intelligent Informatics, Year: 2013. Pages: 157-164 |
Weighted least squares based spectral precoder for OFDM cognitive radio,Journal: IEEE Wireless Communications Letters, Publisher: IEEE, Year: 2015. Pages: 641-644 |
Channel selection and power allocation for device-to-device enabled cellular networks,Journal: IET Communications, Publisher: IET, Year: 2018. Pages: 1854-1863 |
Antiphase tones across transmitting antennas: A spectrum sharing technique for cognitive CO-STFC MB-OFDM UWB system,Journal: Wireless personal communications, Publisher: Springer, Year: 2014. Pages: 437-451 |
Pulse position modulated space--time trellis coding for ultra-wideband impulse radio multiple-input multiple-output communication systems,Journal: IET communications, Publisher: IET, Year: 2008. Pages: 366-371 |
Semi-distributed resource management for underlay D2D communication with user's cooperation,Journal: International Journal of Communication Systems, Publisher: Wiley Online Library, Year: 2019. Pages: e4243 |
Bit error rate performance of IR-UWB ED-PPM system using cooperative dual-hop AF strategy,Journal: IET Communications, Publisher: IET, Year: 2016. Pages: 34-43 |
M-PAM space--time trellis codes for ultra-wideband multiple-input multiple-output communications,Journal: IET communications, Publisher: IET, Year: 2008. Pages: 514-522 |
Timing offset estimation using pilot sequence for UWB-IR receivers in IEEE 802.15. 4a channel model,In 2015 International Conference on Signal Processing and Communication (ICSC), Year: 2015. Pages: 141-146 |
Energy harvesting using cyclic prefixed OFDMA,In 2017 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Year: 2017. Pages: 1335-1339 |
Orthogonal spectral precoder for minimizing adjacent channel leakage ratio in OFDM based cognitive radio,Journal: Wireless Communications and Mobile Computing, Publisher: Wiley Online Library, Year: 2016. Pages: 3332-3339 |
Performance comparison of non-coherent IR-UWB receivers,In 2013 INTERNATIONAL CONFERENCE ON SIGNAL PROCESSING AND COMMUNICATION (ICSC), Year: 2013. Pages: 143-148 |
Cluster oriented resource allocation and power optimization for D2D network in cellular communications,Journal: IET Networks, Publisher: IET, Year: 2020. |
Sidelobe suppression using differential coding in OFDM cognitive radios,In 2013 IEEE International Conference on Signal Processing, Computing and Control (ISPCC), Year: 2013. Pages: 1-6 |
Power allocation and relay selection for wireless relay networks,In 2016 International Conference on Signal Processing and Communication (ICSC), Year: 2016. Pages: 134-138 |
A survey on various coherent and non-coherent IR-UWB receivers,Journal: Wireless Personal Communications, Publisher: Springer, Year: 2014. Pages: 2339-2369 |
BER based Power Allocation for Cooperative Communication System over Rayleigh Fading Channel,In Proceedings of the Sixth International Conference on Computer and Communication Technology 2015, Year: 2015. Pages: 127-131 |
A New Distance Notion for PPAM Space--Time Trellis Codes for UWB MIMO Communications,Journal: IEEE transactions on communications, Publisher: IEEE, Year: 2007. Pages: 1279-1282 |
Power Allocation Scheme for Spectrally Precoded OFDMA Cognitive Radio,Journal: Wireless Personal Communications, Publisher: Springer, Year: 2020. Pages: 1283-1301 |
Performance analysis of IR-UWB TR receiver using cooperative dual hop AF strategy,In 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI), Year: 2014. Pages: 2537-2543 |
Computationally efficient mask-compliant spectral precoder for OFDM cognitive radio,Journal: IEEE Transactions on Cognitive Communications and Networking, Publisher: IEEE, Year: 2016. Pages: 15-23 |
Conditional Combining with Generalized Switch Diversity,In Proceedings of the 2010 International Conference on Advances in Communication, Network, and Computing, Year: 2010. Pages: 180-182 |
M-PAM space time trellis codes for multi-antenna ultra-wideband communications,In 2005 IEEE International Conference on Personal Wireless Communications, 2005. ICPWC 2005., Year: 2005. Pages: 308-311 |
Single-layer single-patch dual band antenna for satellite applications,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET, Year: 2016. Pages: 664-669 |
Comparison of hybrid-pol with quad-pol scheme based on polarimetric information content,Journal: International journal of remote sensing, Publisher: Taylor & Francis, Year: 2012. Pages: 3531-3541 |
Circularly polarized 2\times 2 MIMO antenna for WLAN applications,Journal: Progress In Electromagnetics Research, Publisher: EMW Publishing, Year: 2016. Pages: 97-107 |
Hybrid-pol based three-component scattering model for analysis of RISAT data,Journal: IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, Publisher: IEEE, Year: 2017. Pages: 5155-5162 |
A low profile planar MIMO antenna with polarization diversity for LTE 1800/1900 applications,Journal: Microwave and Optical Technology Letters, Publisher: Wiley Online Library, Year: 2017. Pages: 533-538 |
Three-component decomposition technique for hybrid-pol SAR data,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2016. Pages: 1569-1574 |
Gini index-based land-cover classification using polarimetric synthetic aperture radar,Journal: International journal of remote sensing, Publisher: Taylor & Francis, Year: 2012. Pages: 2628-2640 |
Four element planar MIMO antenna design for long-term evolution operation,Journal: IETE Journal of Research, Publisher: Taylor & Francis, Year: 2018. Pages: 367-373 |
Side-lobe reduction in pulse compression having a better range resolution,Journal: Computers & Electrical Engineering, Publisher: Pergamon, Year: 2019. Pages: 520-532 |
Extended four-component decomposition by using modified cross-scattering matrix,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2017. Pages: 1196-1202 |
Offset planar MIMO antenna for omnidirectional radiation patterns,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2018. Pages: e21274 |
MIMO antennas with diversity and mutual coupling reduction techniques: a review,Journal: International Journal of Microwave and Wireless Technologies, Publisher: Cambridge University Press, Year: 2017. Pages: 1763-1780 |
Unsupervised classification of scattering behaviour using hybrid-polarimetry,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2013. Pages: 270-276 |
A fast alternative to three-and four-component scattering models for polarimetric SAR image decomposition,Journal: Remote sensing letters, Publisher: Taylor & Francis, Year: 2017. Pages: 781-790 |
Classification of hybrid-pol data using novel cross-polarisation estimation approach,Journal: Electronics Letters, Publisher: IET, Year: 2018. Pages: 161-163 |
Investigation of branching conditions in model-based decomposition methods,Journal: IEEE Geoscience and Remote Sensing Letters, Publisher: IEEE, Year: 2018. Pages: 1224-1228 |
Improved patch-based NLM PolSAR speckle filter based on iteratively re-weighted least squares method,Journal: IET Radar, Sonar & Navigation, Publisher: IET, Year: 2017. Pages: 30-36 |
A 2\times 2 dual-band MIMO antenna with polarization diversity for wireless applications,Journal: Progress In Electromagnetics Research, Publisher: EMW Publishing, Year: 2016. Pages: 91-103 |
Non-negative scattering power decomposition for PolSAR data interpretation,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2018. Pages: 593-602 |
Stokes based sigma filter for despeckling of compact PolSAR data,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2018. Pages: 475-483 |
A multi-standard, wide-band 2\times 2 compact MIMO antenna with ground modification techniques,Journal: International Journal of Microwave and Optical Technology, Year: 2016. Pages: 259-267p |
Multi-standard, multi-band planar multiple input multiple output antenna with diversity effects for wireless applications,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: John Wiley & Sons, Inc. Hoboken, USA, Year: 2019. Pages: e21551 |
Polsar coherency matrix optimization through selective unitary rotations for model-based decomposition scheme,Journal: IEEE Geoscience and Remote Sensing Letters, Publisher: IEEE, Year: 2018. Pages: 658-662 |
CFAR-based adaptive PolSAR speckle filter,Journal: IEEE Journal of Selected Topics in Applied Earth Observations and Remote Sensing, Publisher: IEEE, Year: 2018. Pages: 4895-4905 |
Entropy based reconstruction technique for analysis of hybrid-polarimetric SAR data,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2018. Pages: 620-626 |
Oil-spill detection using hybrid-pol data through reconstruction technique,Journal: Electronics Letters, Publisher: IET, Year: 2019. Pages: 558-561 |
Hybrid-pol decomposition methods: A comparative evaluation and a new entropy-based approach,Journal: IETE Technical Review, Publisher: Taylor & Francis, Year: 2019. Pages: 1-13 |
Improved four-component based polarimetric synthetic aperture radar image decomposition,Journal: IET Radar, Sonar & Navigation, Publisher: IET Digital Library, Year: 2020. Pages: 619-627 |
Adaptive digital predistortion of wireless power amplifiers/transmitters using dynamic real-valued focused time-delay line neural networks,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2009. Pages: 95-104 |
Generalized rational functions for reduced-complexity behavioral modeling and digital predistortion of broadband wireless transmitters,Journal: IEEE Transactions on Instrumentation and Measurement, Publisher: IEEE, Year: 2013. Pages: 485-498 |
Analysis of frequency-selective impedance loading of transmission lines for dual-band couplers,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: Wiley Subscription Services, Inc., A Wiley Company Hoboken, Year: 2011. Pages: 325-335 |
A ray launching-neural network approach for radio wave propagation analysis in complex indoor environments,Journal: IEEE Transactions on Antennas and Propagation, Publisher: IEEE, Year: 2014. Pages: 2777-2786 |
Broad-band matching network using band-pass filter with device parasitic absorption,In 82nd ARFTG Microwave Measurement Conference, Year: 2013. Pages: 1-4 |
Investigating effects of quadrature imperfection of vector multiplier in implementing RF/digital predistortion,In 2009 IEEE 10th Annual Wireless and Microwave Technology Conference, Year: 2009. Pages: 1-4 |
Design strategy for tri-band Doherty power amplifier,In WAMICON 2014, Year: 2014. Pages: 1-3 |
Doherty power amplifiers in software radio systems,In 2011 XXXth URSI General Assembly and Scientific Symposium, Year: 2011. Pages: 1-4 |
Load-pull assisted cad design of inverted Doherty Amplifier without quarter-wave transformer,In 2012 25th IEEE Canadian Conference on Electrical and Computer Engineering (CCECE), Year: 2012. Pages: 1-4 |
Practical result of wireless indoor position estimation by using hybrid TDOA/RSS algorithm,In CCECE 2010, Year: 2010. Pages: 1-5 |
Design scheme for dual-band three stage Doherty power amplifiers,In 2014 IEEE International Microwave and RF Conference (IMaRC), Year: 2014. Pages: 80-83 |
Optimal finite bit Pi representation,In 2014 IEEE REGION 10 SYMPOSIUM, Year: 2014. Pages: 387-390 |
C-band microstrip based bandpass filter with design oriented transmission zeros allocation,In 2011 24th Canadian Conference on Electrical and Computer Engineering (CCECE), Year: 2011. Pages: 001179-001181 |
MINI-SPECIAL ISSUE ON 2014 IEEE INTERNATIONAL MICROWAVE AND RF CONFERENCE (IMaRC2014),
|
A high efficiency inductor-less broadband fully integrated CMOS power amplifier,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2015. Pages: 311-320 |
showing real nerve,Journal: Electronics Letters, Publisher: IET, Year: 2013. |
Recent Advances on Signal Processing Solutions for Distortion Mitigation Due to Power Amplifier and Non-Ideality of Transmitter System,Journal: Recent Patents on Signal Processing, Publisher: Bentham Science Publishers, Year: 2011. Pages: 135-142 |
Technical Program Co-Chairs,
|
Design of reconfigurable concurrent dual-band quarter-wave transformer with application of power combiner/divider,In 2015 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2015. Pages: 169-172 |
Digital Techniques for Multiband RF Transmitters,Publisher: Springer, Berlin, Heidelberg, In Multiband RF Circuits and Techniques for Wireless Transmitters, Year: 2016. Pages: 203-242 |
Class-F GaN power amplifier design using model-based nonlinear embedding,In 2015 IEEE Applied Electromagnetics Conference (AEMC), Year: 2015. Pages: 1-2 |
Design of reconfigurable concurrent dual-band power amplifiers using reconfigurable concurrent dual-band matching network,In 2016 IEEE MTT-S International Wireless Symposium (IWS), Year: 2016. Pages: 1-4 |
Digitally assisted analog predistortion technique for power amplifier,In 2016 88th ARFTG Microwave Measurement Conference (ARFTG), Year: 2016. Pages: 1-4 |
Broadband power amplifier design by exploring design space of continuous class-F mode,In 2016 Asia-Pacific Microwave Conference (APMC), Year: 2016. Pages: 1-4 |
Investigating continuous class-F power amplifier using nonlinear embedding model,Journal: IEEE Microwave and Wireless Components Letters, Publisher: IEEE, Year: 2017. Pages: 593-595 |
Wideband tri-stage Doherty power amplifier with asymmetric current ratios,In 2016 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2016. Pages: 1-4 |
Modulator design using six port microwave network at a carrier frequency of 1.9 GHz,In 2016 5th International Conference on Wireless Networks and Embedded Systems (WECON), Year: 2016. Pages: 1-3 |
A design strategy for bandwidth enhancement in three-stage Doherty power amplifier with extended dynamic range,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2017. Pages: 1024-1033 |
De-embedded model based Class-E power amplifier using waveform engineering,In 2017 8th International Conference on Computing, Communication and Networking Technologies (ICCCNT), Year: 2017. Pages: 1-4 |
Multi-brand/multi-standard wirless transmitter for software defined radios,Publisher: University of Calgary, Year: 2012. |
Class S power amplifier based on CSCD with delta-sigma modulation,In 2017 IEEE Applied Electromagnetics Conference (AEMC), Year: 2017. Pages: 1-2 |
A wideband rat-race coupler using stepped impedance resonator,In 2018 IEEE MTT-S International Wireless Symposium (IWS), Year: 2018. Pages: 1-3 |
A digitally assisted dual-input dual-band Doherty power amplifier with enhanced efficiency and linearity,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2018. Pages: 297-301 |
Nonlinear characterization and distortion mitigation in six-port modulator,Journal: IEEE Transactions on Instrumentation and Measurement, Publisher: IEEE, Year: 2018. Pages: 1178-1188 |
Efficiency Enhancement in Delta-Sigma Modulator Based Transmitter Using Level Transformation,In 2017 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2017. Pages: 1-5 |
Special issue on GaN HEMT modeling and circuits,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: John Wiley & Sons, Inc. Hoboken, USA, Year: 2018. Pages: e21540 |
Quadrature modulation using radio frequency wave correlation in multiport network,In 2018 5th International Conference on Signal Processing and Integrated Networks (SPIN), Year: 2018. Pages: 796-799 |
A wideband two-stage Doherty power amplifier at high back-off by exploring feasible design space,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: John Wiley & Sons, Inc. Hoboken, USA, Year: 2018. Pages: e21528 |
Characterization and modeling of hardware imperfections in Schottky diode based six-port modulator,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: John Wiley & Sons, Inc. Hoboken, USA, Year: 2019. Pages: e21694 |
Broadband continuous mode power amplifier with on-board harmonic injection,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2019. Pages: 1402-1407 |
Topics of Interest (Tracks),
|
Analysis and Design of Chireix Outphasing Switched Mode Power Amplifier,In 2018 IEEE MTT-S Latin America Microwave Conference (LAMC 2018), Year: 2018. Pages: 1-3 |
Class-E PA Prototype Using An Embedding Model,In 2019 IEEE 20th Wireless and Microwave Technology Conference (WAMICON), Year: 2019. Pages: 1-6 |
Hybrid Analog/Digital Continuous Class B/J Mode for Broadband Doherty Power Amplifiers,Journal: IEEE Access, Publisher: IEEE, Year: 2019. Pages: 74986-74995 |
Reconfigurable Chireix Outphasing Power Amplifier over Multiple Frequency Bands,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2019. |
Power amplifier design based on nonlinear embedding models with design examples,Journal: RADIO FREQUENCY AND MICROWAVE POWER AMPLIFIERS: Efficiency and Linearity, Publisher: INST OF ENGIN AND TECH, Year: 2019. Pages: 255 |
Design Challenges In Continuous Mode Power Amplifiers,In 2019 IEEE MTT-S International Wireless Symposium (IWS), Year: 2019. Pages: 1-3 |
Implementation of a Two-Input Digitally Assisted Doherty Transmitter for Enhanced Performance,In 2019 IEEE MTT-S International Wireless Symposium (IWS), Year: 2019. Pages: 1-3 |
Full 2-Port Vector Network Analyzer using Nonlinear Calibration of Six-Port Reflectometer,In 2019 IEEE MTT-S International Wireless Symposium (IWS), Year: 2019. Pages: 1-3 |
Delta Sigma Modulation Based Digital Transmitter for Single and Dual Band Transmission,In 2018 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2018. Pages: 1-4 |
Chireix Outphasing Switched Mode Power Amplifier for Wireless Communication,In 2018 IEEE MTT-S International Microwave and RF Conference (IMaRC), Year: 2018. Pages: 1-4 |
Swish Activation Based Deep Neural Network Predistorter for RF-PA,In TENCON 2019-2019 IEEE Region 10 Conference (TENCON), Year: 2019. Pages: 1239-1242 |
Design of 100 W LDMOS based Power Amplifier for cellular applications,In 2020 7th International Conference on Signal Processing and Integrated Networks (SPIN), Year: 2020. Pages: 166-169 |
Design and linearization of concurrent dual-band Doherty power amplifier with frequency-dependent power ranges,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2011. Pages: 2537-2546 |
Design methodology for dual-band Doherty power amplifier with performance enhancement using dual-band offset lines,Journal: IEEE Transactions on Industrial Electronics, Publisher: IEEE, Year: 2011. Pages: 4831-4842 |
Dual-band matching technique based on dual-characteristic impedance transformers for dual-band power amplifiers design,Journal: IET microwaves, antennas & propagation, Publisher: IET Digital Library, Year: 2011. Pages: 1720-1729 |
A design methodology for miniaturized power dividers using periodically loaded slow wave structure with dual-band applications,Journal: IEEE transactions on microwave theory and techniques, Publisher: IEEE, Year: 2009. Pages: 3380-3388 |
Compensating I--Q imperfections in hybrid RF/digital predistortion with an adapted lookup table implemented in an FPGA,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2010. Pages: 389-393 |
Three-layered biased memory polynomial for dynamic modeling and predistortion of transmitters with memory,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Publisher: IEEE, Year: 2012. Pages: 768-777 |
Dual-band RF circuits and components for multi-standard software defined radios,Journal: IEEE Circuits and Systems Magazine, Publisher: IEEE, Year: 2012. Pages: 12-32 |
Highly reflective load-pull,Journal: IEEE Microwave Magazine, Publisher: IEEE, Year: 2011. Pages: 96-107 |
Double the band and optimize,Journal: IEEE Microwave Magazine, Publisher: IEEE, Year: 2012. Pages: 69-82 |
Convergence Analysis in Deterministic 3D Ray Launching Radio Channel Estimation in Complex Environments.,Journal: Applied Computational Electromagnetics Society Journal, Year: 2014. |
Dual-band branch-line hybrid with distinct power division ratio over the two bands,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: Wiley Subscription Services, Inc., A Wiley Company Hoboken, Year: 2013. Pages: 90-98 |
Envelope tracked pulse gate modulated GaN HEMT power amplifier for wireless transmitters,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Publisher: IEEE, Year: 2014. Pages: 571-579 |
A fully integrated dual-band CMOS power amplifier using a variable switched interstage matching network,Journal: IETE Journal of Research, Publisher: Taylor & Francis, Year: 2014. Pages: 139-144 |
Cooperative network solution and implementation for emergency applications with enhanced position estimation capability,Journal: Wireless networks, Publisher: Springer US, Year: 2014. Pages: 1157-1168 |
Design scheme for broadband D oherty power amplifier using broadband load combiner,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Year: 2015. Pages: 655-674 |
Conformado de Haces Direccionales para Antenas Inteligentes mediante el empleo de Lanzado de Rayos y Redes Neuronales,
|
Bandwidth enhancement of three-stage Doherty power amplifier using symmetric devices,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2015. Pages: 2399-2410 |
Joint mitigation of nonlinearity and modulator imperfections in dual-band concurrent transmitter using neural networks,Journal: Electronics letters, Publisher: IET Digital Library, Year: 2013. Pages: 253-255 |
RF Amplifier Design and Architectures,Publisher: Springer, Berlin, Heidelberg, In Multiband RF Circuits and Techniques for Wireless Transmitters, Year: 2016. Pages: 1-28 |
Dual-Branch RF Amplifier Design and Architectures,Publisher: Springer, Berlin, Heidelberg, In Multiband RF Circuits and Techniques for Wireless Transmitters, Year: 2016. Pages: 29-58 |
Multiband RF Transmitters,Publisher: Springer, Berlin, Heidelberg, In Multiband RF Circuits and Techniques for Wireless Transmitters, Year: 2016. Pages: 59-79 |
Reconfigurable and concurrent dual-band Doherty power amplifier for multiband and multistandard applications,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2016. Pages: 198-208 |
Continuous class-B/J power amplifier using a nonlinear embedding technique,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2016. Pages: 837-841 |
Differential expression of efferocytosis and phagocytosis associated genes in tumor associated macrophages exposed to African American patient derived prostate cancer microenvironment,Journal: Journal of solid tumors, Publisher: NIH Public Access, Year: 2019. Pages: 22 |
Nonlinear Embedding Model-Based Continuous Class E/F Power Amplifier,Journal: IEEE Microwave and Wireless Components Letters, Publisher: IEEE, Year: 2019. Pages: 714-717 |
Geometrically progressive stub loading scheme for miniaturization of transmission line-based components,Journal: International Journal of RF and Microwave Computer-Aided Engineering, Publisher: John Wiley & Sons, Inc. Hoboken, USA, Year: 2020. Pages: e22140 |
Reconfigurable Digital Delta-Sigma Modulation Transmitter Architecture for Concurrent Multi-Band Transmission,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Publisher: IEEE, Year: 2020. |
Advance Materials for Power Amplifier Design and Packaging,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 351-382 |
Power Amplifier Design Using Nonlinear Embedding,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 143-189 |
Introduction to RF Power Amplifier Design and Architecture,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 1-106 |
Nonlinear Device Characterization and Modeling for Power Amplifiers,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 107-142 |
Broadband Techniques in Power Amplifiers,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 191-300 |
Digital Techniques for Broadband and Linearized Transmitters,Publisher: Springer, Cham, In Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters, Year: 2020. Pages: 301-350 |
Bandwidth and Efficiency Enhancement in Radio Frequency Power Amplifiers for Wireless Transmitters,Publisher: Springer Nature, Year: 2020. |
Board-Level Ku-Band Power Amplifier: Design and Challenges,Journal: IETE Journal of Research, Publisher: Taylor & Francis, Year: 2020. Pages: 1-9 |
Digitally Assisted Harmonic Cancellation for Multi-Octave Filter-Less Transmitter,Journal: IEEE Access, Publisher: IEEE, Year: 2020. Pages: 68913-68929 |
Effect of Oxidative Damage on Charge and Spin Transport in DNA,Journal: Journal of the American Chemical Society, Publisher: ACS Publications, Year: 2018. Pages: 123-126 |
State transitions and decoherence in the avian compass,Journal: Physical Review E, Publisher: APS, Year: 2015. Pages: 052709 |
Nitrogen-terminated semiconducting zigzag GNR FET with negative differential resistance,Journal: IEEE Transactions on Nanotechnology, Publisher: IEEE, Year: 2013. Pages: 16-22 |
Functional window of the avian compass,Journal: Physical Review E, Publisher: APS, Year: 2017. Pages: 052417 |
Quantum Biomimetic Modeling of Diamond NV Center Spin Dynamics,Journal: IEEE Transactions on Nanotechnology, Publisher: IEEE, Year: 2018. Pages: 231-237 |
A high isolation linear folded mixer for WiFi applications,In 2014 IEEE International Symposium on Circuits and Systems (ISCAS), Year: 2014. Pages: 694-697 |
A high-isolation linear folded mixer for ISM band in UMC 180NM CMOS technology,Journal: Microwave and Optical Technology Letters, Publisher: Wiley Online Library, Year: 2016. Pages: 2461-2466 |
Low-Power Linear Bulk-Injection Mixer for Wide-Band Applications,Journal: IEEE Microwave and Wireless Components Letters, Publisher: IEEE, Year: 2016. Pages: 828-830 |
Multi Mode Resonators Based Triple Band Notch UWB Filter,Journal: IEEE Microwave and Wireless Components Letters, Publisher: IEEE, Year: 2017. Pages: 120-122 |
A Self-Biased Mixer in 0.18mu CMOS for an Ultra-Wideband Receiver,Journal: IEEE Transactions on Microwave Theory and Techniques, Publisher: IEEE, Year: 2017. Pages: 1294-1302 |
A 1--11 GHz ultra-wideband LNA using M-derived inductive peaking circuit in UMC 65 nm CMOS,Journal: Microwave and Optical Technology Letters, Year: 2017. Pages: 521-526 |
Low-power switched transconductance mixer and LNA design for Wi-Fi and WiMAX applications in 65 nm CMOS,Journal: IET Microwaves, Antennas & Propagation, Publisher: IET Digital Library, Year: 2018. Pages: 1736-1744 |
Design of Wideband Active Mixer by using an Active Inductor,In 2019 IEEE Asia-Pacific Microwave Conference (APMC), Year: 2019. Pages: 1173-1175 |
Two dimensional analytical modeling for asymmetric 3T and 4T double gate tunnel FET in sub-threshold region: Potential and electric field,Journal: Microelectronics Journal, Publisher: Elsevier, Year: 2013. Pages: 1251-1259 |
Effect of load capacitance and input transition time on FinFET inverter capacitances,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2013. Pages: 30-36 |
Multifinger MOSFETs’ optimization considering stress and INWE in static CMOS circuits,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2016. Pages: 2517-2523 |
Process induced mechanical stress aware poly-pitch optimization for enhanced circuit performance,In Thirteenth International Symposium on Quality Electronic Design (ISQED), Year: 2012. Pages: 717-722 |
An analytical delay model for mechanical stress induced systematic variability analysis in nanoscale circuit design,Journal: IEEE Transactions on Circuits and Systems I: Regular Papers, Publisher: IEEE, Year: 2014. Pages: 1714-1726 |
Novel Design Methodology Using L EXT Sizing in Nanowire CMOS Logic,Journal: IEEE Transactions on Nanotechnology, Publisher: IEEE, Year: 2014. Pages: 650-658 |
A Novel DSAT Extraction Method for Tunnel FETs and Its Implication on Analog Design,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2016. Pages: 629-633 |
Drain current saturation in line tunneling-based TFETs: An analog design perspective,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2017. Pages: 322-330 |
Pre-layout estimation of performance and design of basic analog circuits in stress enabled technologies,In 2015 19th International Symposium on VLSI Design and Test, Year: 2015. Pages: 1-6 |
An accurate current source model for CMOS based combinational logic cell,In Thirteenth International Symposium on Quality Electronic Design (ISQED), Year: 2012. Pages: 561-565 |
The impact of process-induced mechanical stress in narrow width devices and variable-taper CMOS buffer design,Journal: Microelectronics Reliability, Publisher: Elsevier, Year: 2013. Pages: 718-724 |
Atypical Voltage Transitions in FinFET Multistage Circuits: Origin and Significance,Journal: IEEE Transactions on Electron Devices, Publisher: IEEE, Year: 2016. Pages: 1392-1396 |
Super-threshold semi analytical channel potential model for DG tunnel FET,Journal: Journal of Computational Electronics, Publisher: Springer, Year: 2015. Pages: 566-573 |
Efficient static D-latch standard cell characterization using a novel setup time model,In Sixteenth International Symposium on Quality Electronic Design, Year: 2015. Pages: 371-378 |
The Impact of Process-Induced Mechanical Stress in Narrow Width Devices and Circuit Design Issues,In 2012 International Symposium on Electronic System Design (ISED), Year: 2012. Pages: 213-215 |
A proposed output buffer at 90 nm technology with minimum signal switching noise at 83.3 MHz,In 2011 IEEE Computer Society Annual Symposium on VLSI, Year: 2011. Pages: 108-113 |
FinFET Device Circuit Co-design Issues: Impact of Circuit Parameters on Delay,In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Year: 2016. Pages: 288-293 |
Within-die gate delay variability measurement using reconfigurable ring oscillator,Journal: IEEE Transactions on Semiconductor Manufacturing, Publisher: IEEE, Year: 2009. Pages: 256-267 |
Voltage and temperature-aware SSTA using neural network delay model,Journal: IEEE transactions on semiconductor manufacturing, Publisher: IEEE, Year: 2011. Pages: 533-544 |
Frequency-Independent Warning Detection Sequential for Dynamic Voltage and Frequency Scaling in ASICs,Journal: Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, Publisher: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Year: 2013. |
On-chip measurement of rise/fall gate delay using reconfigurable ring oscillator,Journal: IEEE Transactions on Circuits and Systems II: Express Briefs, Publisher: IEEE, Year: 2014. Pages: 183-187 |
Area-efficient reconfigurable-array-based oscillator for standard cell characterisation,Journal: IET Circuits, Devices & Systems, Publisher: IET Digital Library, Year: 2012. Pages: 429-436 |
Low Overhead Warning Flip-Flop Based on Charge Sharing for Timing Slack Monitoring,Journal: IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Publisher: IEEE, Year: 2018. Pages: 1223-1232 |
Metastability immune and area efficient error masking flip-flop for timing error resilient designs,Journal: Integration, Publisher: Elsevier, Year: 2018. Pages: 101-113 |
An optimal device sizing for a performance-driven and area-efficient subthreshold cell library for IoT applications,Journal: Microelectronics Journal, Publisher: Elsevier, Year: 2019. Pages: 104613 |
Reducing the Impact of Local Load Variation on the DUT in a Process Detector Using a Supply Controlled Ring Oscillator,Journal: IEEE Transactions on Semiconductor Manufacturing, Publisher: IEEE, Year: 2019. Pages: 605-612 |
On-Chip Threshold Voltage Variability Estimation Using Reconfigurable Ring Oscillator,Journal: IEEE Transactions on Semiconductor Manufacturing, Publisher: IEEE, Year: 2019. Pages: 226-235 |
Design and Analysis of Leakage-Induced False Error Tolerant Error Detecting Latch for Sub/Near-Threshold Applications,Journal: IEEE Transactions on Device and Materials Reliability, Publisher: IEEE, Year: 2020. Pages: 1-1 |
Radiation Effects in MOS-based Devices and Circuits: A Review,Journal: IETE Technical Review, Publisher: Taylor & Francis, Year: 2011. Pages: 451-469 |
Nanoscale device architecture to reduce leakage currents through quantum-mechanical simulation,Journal: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, Year: 2006. Pages: 1384-1397 |
Selected Articles from VDAT 2017 Conference,Journal: Journal of Low Power Electronics, Publisher: American Scientific Publishers, Year: 2018. Pages: 255-256 |
Tutorial T6: FinFET Device Circuit Co-design: Issues and Challenges,In 2015 28th International Conference on VLSI Design, Year: 2015. Pages: 12-13 |
Parasitic capacitances of Dual-K spacer FinFET,In 2016 Conference on Emerging Devices and Smart Systems (ICEDSS), Year: 2016. Pages: 34-36 |
Design of Low Power Adiabatic SRAM Using DTGAL, CPAL and ACPL: A Comparative Study,Journal: Journal of Low Power Electronics, Publisher: American Scientific Publishers, Year: 2009. Pages: 40-49 |
Impact of device design parameters on V DSAT and analog performance of TFETs,In 2017 Silicon Nanoelectronics Workshop (SNW), Year: 2017. Pages: 51-52 |
Metal Carbon Nanotube Schottky Barrier Diode with Detection of Polar Non-polar Gases,In 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID), Year: 2016. Pages: 361-366 |
Analog performance analysis of dual-k spacer based underlap FinFET,Publisher: Springer, In Progress in VLSI Design and Test, Year: 2012. Pages: 46-51 |
Design and analysis of double-gate MOSFETs for ultra-low power radio frequency identification (RFID): device and circuit co-design,Year: 2011. |
Design and Analysis of Double-Gate MOSFETs for Ultra-Low Power Radio Frequency Identification (RFID): Device and Circuit Co-Design,Journal: Journal of Low Power Electronics and Applications, Year: 2011. Pages: 277-302 |
Two dimensional analytical modeling for asymmetric 3T and 4T double gate tunnel FET in sub-threshold region: Potential and electric field,Journal: Microelectronics Journal, Year: 2013. Pages: 1251 - 1259 |
Reviewer Acknowledgment,Journal: Computers & Security, Year: 2019. Pages: I - V |
Nuclear Data Sheets for A = 215,Journal: Nuclear Data Sheets, Year: 2013. Pages: 2023 - 2078 |
Comparing direct charge injection and Forster energy transfer into quantum dots in hybrid organic/inorganic quantum dot light emitting devices,Journal: Journal of Applied Physics, Publisher: American Institute of Physics, Year: 2012. Pages: 034501 |
Modeling charge transport in quantum dot light emitting devices with NiO and ZnO transport layers and Si quantum dots,Journal: Journal of Applied Physics, Publisher: American Institute of Physics, Year: 2013. Pages: 044507 |
Synthesis of single phase cubic tin nitride nanoparticles by atmospheric pressure--halide vapor phase epitaxy,Journal: Solid state sciences, Publisher: Elsevier Masson, Year: 2008. Pages: 569-572 |
Growth of indium nitride nanopetal structures on indium oxide buffer layer,Journal: Materials Express, Publisher: American Scientific Publishers, Year: 2013. Pages: 360-364 |
Charge transport in quantum dot organic solar cells with Si quantum dots sandwiched between poly (3-hexylthiophene)(P3HT) absorber and bathocuproine (BCP) transport layers,Journal: Journal of Applied Physics, Publisher: AIP Publishing LLC, Year: 2017. Pages: 153104 |
Structural and optical characteristics of in-situ sputtered highly oriented 15R-SiC thin films on different substrates,Journal: Journal of Applied Physics, Publisher: AIP Publishing LLC, Year: 2018. Pages: 023109 |
The role of the substrate on photophysical properties of highly ordered 15R-SiC thin films,Journal: Journal of Electronic Materials, Publisher: Springer US, Year: 2018. Pages: 5259-5268 |
Development of Pd-Pt functionalized high performance H2 gas sensor based on silicon carbide coated porous silicon for extreme environment applications,Journal: Sensors and Actuators B: Chemical, Publisher: Elsevier, Year: 2019. Pages: 373-383 |
Impact of Capture/Emission Time Constant at Donor--Acceptor Interface on Current--Voltage Characteristics of Hybrid Organic/Inorganic Quantum Dot Solar Cells,Journal: IEEE Electron Device Letters, Publisher: IEEE, Year: 2018. Pages: 1588-1591 |
Enhancement in Open Circuit Voltage by Introducing Cascaded Silicon Quantum Dot Layers in Multi-Layer Organic Solar Cells,
|
Temporal evolution of white light emitting CdS core and Cd1-xZnxS graded shell quantum dots fabricated using single step non-injection technique,Journal: Optical Materials, Publisher: Elsevier, Year: 2019. Pages: 143-149 |
Impact of size and shape on trap state controlled luminescence properties of trioctylphosphine-capped cadmium selenide quantum dots,Journal: JOSA B, Publisher: Optical Society of America, Year: 2019. Pages: 1466-1471 |
Study of variation in thickness of poly (n-vinylcarbazole)[PVK] thin films in different solvents for static dispense spin coating method,In 2018 2nd International Conference on Electronics, Materials Engineering & Nano-Technology (IEMENTech), Year: 2018. Pages: 1-3 |
Publications |